화학공학소재연구정보센터

Journal of Vacuum Science & Technology B

Journal of Vacuum Science & Technology B, Vol.26, No.6 Entire volume, number list
ISSN: 1071-1023 (Print) 

In this Issue (152 articles)

L45 - L47 Fabrication of thin-film silicon on insulator by separation by implanted oxygen layer transfer
Wei X, Wu AM, Chen M, Chen J, Zhang M, Wang X, Lin CL
L48 - L52 Fabrication of Ag-tetracyanoquinodimethane nanostructures using ink-jet printing/vapor-solid chemical reaction process
Aggarwal R, Narayan RJ, Xiao K, Geohegan DB
1831 - 1833 The transition mechanisms of a ten-period InAs/GaAs quantum-dot infrared photodetector
Tseng CC, Chou ST, Lin SY, Chen CN, Lin WH, Chen YH, Chung TH, Wu MC
1834 - 1840 Fabrication and testing of through-silicon vias used in three-dimensional integration
Abhulimen IU, Kamto A, Liu Y, Burkett SL, Schaper L
1841 - 1847 GaN nanowire and Ga2O3 nanowire and nanoribbon growth from ion implanted iron catalyst
Johnson JL, Choi YH, Ural A
1848 - 1851 Gigahertz surface acoustic wave generation on ZnO thin films deposited by radio frequency magnetron sputtering on III-V semiconductor substrates
Wang QJ, Pflugl C, Andress WF, Ham D, Capasso F, Yamanishi M
1852 - 1855 Large-area dielectric and metallic freestanding gratings for midinfrared optical filtering applications
Vincent G, Collin S, Bardou N, Pelouard JL, Haidar R
1856 - 1859 Optimization of antireflection structures of polymer based on nanoimprinting using anodic porous alumina
Yanagishita T, Kondo T, Nishio K, Masuda H
1860 - 1865 A new approach to fabricating high density nanoarrays by nanocontact printing
Gu J, Xiao XY, Takulapalli BR, Morrison ME, Zhang P, Zenhausern F
1866 - 1870 Neutral particle proximity lithography: Noncontact nanoscale printing without charge-related artifacts
Craver B, Nounu H, Wasson J, Wolfe JC
1871 - 1874 Epitaxial cubic HfN diffusion barriers deposited on Si (001) by using a TiN buffer layer
Araujo RA, Zhang XH, Wang HY
1875 - 1882 Tungsten metal gate etching in Cl-2/O-2 inductively coupled high density plasmas
Morel T, Bamola S, Ramos R, Beaurain A, Pargon E, Joubert O
1883 - 1886 High and low temperature behavior of Ohmic contacts to AlGaN/GaN heterostructures with a thin GaN cap
Miller MA, Mohney SE
1887 - 1891 Characterization of a sol-gel based high-k dielectric field effect transistor for cryogenic operation
Khan MZR, Hasko DG, Saifullah MSM, Welland ME
1892 - 1895 Improved field emission properties from polycrystalline indium oxide-coated single-walled carbon nanotubes
Lee J, Lee W, Sim K, Han SH, Yi W
1896 - 1902 HBr-based inductively coupled plasma etching of high aspect ratio nanoscale trenches in GaInAsP/InP
Zhou W, Sultana N, MacFarlane DL
1903 - 1910 Spatial scaling metrics of mask-induced line-edge roughness
Naulleau PP, Gallatin G
1911 - 1918 Feature profile evolution during shallow trench isolation etch in chlorine-based plasmas. I. Feature scale modeling
Hoang J, Hsu CC, Chang JP
1919 - 1925 Feature profile evolution during shallow trench isolation etch in chlorine-based plasmas. II. Coupling reactor and feature scale models
Hsu CC, Hoang J, Le V, Chang JP
1926 - 1932 Damage mechanism in low-dielectric (low-k) films during plasma processes
Jinnai B, Nozawa T, Samukawa S
1933 - 1936 Photoconductivity of vertically aligned ZnO nanoneedle array
Park D, Yong K
1937 - 1941 Improved crystalline quality nonpolar a-GaN films grown by hydride vapor phase epitaxy
Donskov AA, D'yakonov LI, Govorkov AV, Kozlova YP, Malakhov SS, Markov AV, Mezhennyi MV, Pavlov VF, Polyakov AY, Smirnov NB, Yugova TG, Pearton SJ
1942 - 1947 Solid-phase growth mechanism of tungsten oxide nanowires synthesized on sputtered tungsten film
Kojima Y, Kasuya K, Nagato K, Hamaguchi T, Nakao M
1948 - 1951 The coexistence of surface reconstruction domains on strained heteroepitaxial films
Sears LE, Millunchick JM, Pearson C
1952 - 1959 Ge1-ySny photoconductor structures at 1.55 mu m: From advanced materials to prototype devices
Roucka R, Xie J, Kouvetakis J, Mathews J, D'Costa V, Menendez J, Tolle J, Yu SQ
1960 - 1963 Growth of Si nanowires on micropillars for the study of their dopant distribution by atom probe tomography
Xu T, Nys JP, Grandidier B, Stievenard D, Coffinier Y, Boukherroub R, Larde R, Cadel E, Pareige P
1964 - 1970 Modifications of dielectric films induced by plasma ashing processes: Hybrid versus porous SiOCH materials
Darnon M, Chevolleau T, David T, Posseme N, Ducote J, Licitra C, Vallier L, Joubert O, Torres J
1971 - 1977 Formation and stability of NiSi in the presence of Co and Fe alloying elements
Deduytsche D, Detavernier C, Van Meirhaeghe RL, Jordan-Sweet JL, Lavoie C
1978 - 1986 Study of 193 nm photoresist degradation during short time fluorocarbon plasma exposure III. Effect of fluorocarbon film and initial surface condition on photoresist degradation
Sumiya M, Bruce R, Engelmann S, Weilnboeck F, Oehrlein GS
1987 - 1992 Interface states mediated reverse leakage through metal/AlxGa1-xN/GaN Schottky diodes
Lu CZ, Zhang XL, Xie XS, Feng SW, Diagne I, Khan A, Mohammad SN
1993 - 2007 Investigation of the oxide-assisted growth mechanism for nanowire growth and a model for this mechanism
Mohammad SN
2008 - 2012 Bias power dependence of reactive ion etching lag in contact hole etching using inductively coupled fluorocarbon plasma
Imai S
2013 - 2013 Spherical field emission cathode based on carbon nanotube paste and its application in luminescent bulbs (vol B 26, pg 1404, 2008)
Fu WQ, Liu P, Tang J, Liu L, Fan SS
2025 - 2031 Electron beams in individual column cells of multicolumn cell system
Yamada A, Yasuda H, Yamabe M
2032 - 2038 Process variation-aware three-dimensional proximity effect correction for electron beam direct writing at 45 nm node and beyond
Ogino K, Hoshino H, Machida Y
2039 - 2042 Charging and error budgets in electron beam lithography tools
Hartley JG, Lyons A
2043 - 2048 An innovative design of wafer height and tilt sensor for lithography systems
Ruan JR, Hartley J
2049 - 2053 Influence of hydrogen silsesquioxane resist exposure temperature on ultrahigh resolution electron beam lithography
Sidorkin V, van der Drift E, Salemink H
2054 - 2058 Dynamic stencil lithography on full wafer scale
Savu V, van den Boogaart MAF, Brugger J, Arcamone J, Sansa M, Perez-Murano F
2059 - 2063 Projection maskless patterning for nanotechnology applications
Platzgummer E, Loeschner H, Gross G
2064 - 2068 Sub-50 nm resolution surface electron emission lithography using nano-Si ballistic electron emitter
Kojima A, Ohyi H, Koshida N
2069 - 2072 Multilevel visualization of local electric field at probe apex using scanning electron microscopy
Fujita J, Ikeda Y, Suzuki I
2073 - 2079 Effect of the electric field on the form stability of a Schottky electron emitter: A step model
Bronsgeest MS, Kruit P
2080 - 2084 Range of validity of field emission equations
Bahm AS, Schwind GA, Swanson LW
2085 - 2090 Evaluation of electron energy spread in CsBr based photocathodes
Maldonado JR, Sun Y, Liu Z, Liu XF, Tanimoto S, Pianetta P, Pease F
2091 - 2096 Brightness measurements of a gallium liquid metal ion source
Hagen CW, Fokkema E, Kruit P
2097 - 2102 The use of ionic liquid ion sources in focused ion beam applications
Zorzos AN, Lozano PC
2103 - 2106 Elemental analysis with the helium ion microscope
Sijbrandij S, Thompson B, Notte J, Ward BW, Economou NP
2107 - 2115 Sputtering limits versus signal-to-noise limits in the observation of Sn balls in a Ga+ microscope
Castaldo V, Hagen CW, Rieger B, Kruit P
2116 - 2120 Enhancement in hyper-numerical-aperture imaging through selective TM polarization
Smith B, Zhou JM, Xie P
2121 - 2123 Image quality improvement in focused ion beam photomask repair system
Yasaka A, Aramaki F, Muramatsu M, Kozakai T, Matsuda O, Sugiyama Y, Doi T, Takaoka O, Hagiwara R, Nakamae K
2124 - 2126 High-speed optical beam-steering based on phase-arrayed waveguides
Jarrahi M, Fabian R, Pease W, Miller DAB, Lee TH
2127 - 2130 Application of vector scanning in focused ion beam photomask repair system
Yasaka A, Aramaki F, Muramatsu M, Kozakai T, Matsuda O, Sugiyama Y, Doi T, Takaoka O, Hagiwara R, Nakamae K
2131 - 2134 Linewidth uniformity in Lloyd's mirror interference lithography systems
O'Reilly TB, Smith HI
2135 - 2138 Spatial-frequency multiplication with multilevel interference lithography
Chang CH, Zhao Y, Heilmann RK, Schattenburg ML
2139 - 2144 Light modulation with nanopatterned diffractive microelectromechanical system pixels
Skinner JL, Talin AA, Horsley DA
2145 - 2150 Improving lithography pattern fidelity and line-edge roughness by reducing laser speckle
Kritsun O, Lalovic I, Rokitski S, Partlo B, La Fontaine B, Farrar N, Levinson H
2151 - 2155 Fabrication of metallic nanoslit waveguides with sharp bends
Lu M, Ocola LE, Gray SK, Wiederrecht GP
2156 - 2159 Optical antennas: A boost for infrared detection
Li HF, Cheng X
2160 - 2163 Fabrication of Fresnel zone plates by holography in the extreme ultraviolet region
Sarkar SS, Sahoo PK, Solak HH, David C, Van der Veen JF
2164 - 2167 Fabrication strategies for filter banks based on microring resonators
Holzwarth CW, Amatya R, Dahlem M, Khilo A, Kartner FX, Ippen EP, Ram RJ, Smith HI
2168 - 2173 Focused in beam fabrication of metallic nanostructures on end faces of optical fibers for chemical sensing applications
Dhawan A, Muth JF, Leonard DN, Gerhold MD, Gleeson J, Vo-Dinh T, Russell PE
2174 - 2178 NIL processes and material characterization on transparent substrates for optical applications
Chaix N, Gourgon C, Perret C, Decossas S, Landis S, Lambertini VG, Pira NL
2179 - 2182 Fabrication of 200 nm period blazed transmission gratings on silicon-on-insulator wafers
Ahn M, Heilmann RK, Schattenburg ML
2183 - 2187 Engineering surface plasmon grating couplers through computer simulation
Ceperley DP, Neureuther AR
2188 - 2191 Light transmission through a metallic/dielectric nano-optic lens
Ko H, Kim HC, Cheng M
2192 - 2196 Photomask image enhancement using grating-generated surface waves
Lafferty NV, Bourov A, Estroff A, Smith BW
2197 - 2203 Optical focusing of plasmonic Fresnel zone plate-based metallic structure covered with a dielectric layer
Kim HC, Ko H, Cheng M
2204 - 2207 Extreme ultraviolet lithography: Status and prospects
Benschop J, Banine V, Lok S, Loopstra E
2208 - 2214 Effects of mask absorber structures on the extreme ultraviolet lithography
Seo HS, Lee DG, Kim H, Huh S, Ahn BS, Han H, Kim D, Kim SS, Cho HK, Gullikson EM
2215 - 2219 Experimental validation of full-field extreme ultraviolet lithography flare and shadowing corrections
Myers AM, Lorusso GF, Kim I, Goethals AM, Jonckheere R, Hermans J, Baudemprez B, Ronse K
2220 - 2224 Actinic extreme ultraviolet mask inspection beyond 0.25 numerical aperture
Goldberg KA, Naulleau P, Mochi I, Anderson EH, Rekawa SB, Kemp CD, Gunion RF, Han HS, Huh S
2225 - 2229 The effects of oxygen plasma on the chemical composition and morphology of the Ru capping layer of the extreme ultraviolet mask blanks
Belau L, Park JY, Liang T, Somorjai GA
2230 - 2235 Protection and reduction of surface oxidation of Mo/Si multilayers for extreme ultraviolet lithography projection optics by control of hydrocarbon gas atmosphere
Niibe M, Koida K, Kakutani Y
2236 - 2240 Decomposition of catechol and carbonaceous residues on TiO2(110): A model system for cleaning of extreme ultraviolet lithography optics
Jacobson P, Li SC, Wang C, Diebold U
2241 - 2246 Interaction of benzene with TiO2 surfaces: Relevance to contamination of extreme ultraviolet lithography mirror capping layers
Zalkind S, Yakshinskiy BV, Madey TE
2247 - 2251 Stability of HSQ nanolines defined by e-beam lithography for Si nanowire field effect transistors
Regonda S, Aryal M, Hu WC
2252 - 2256 Novel negative-tone molecular resist based on polyphenol derivative for extreme ultraviolet lithography
Oizumi H, Kumise T, Itani T
2257 - 2260 Latent image formation in chemically amplified extreme ultraviolet resists with low activation energy for deprotection reaction
Kozawa T, Tagawa S, Santillan JJ, Itani T
2261 - 2264 Dissolution characteristics of chemically amplified extreme ultraviolet resist
Itani T, Kaneyama K, Kozawa T, Tagawa S
2265 - 2270 Improvement in linewidth roughness by postprocessing
Chandhok M, Frasure K, Putna ES, Younkin TR, Rachmady W, Shah U, Yueh W
2271 - 2275 Epoxy silsesquioxane resists for UV nanoimprint lithography
De Girolamo J, Chouiki M, Tortai JH, Sourd C, Derrough S, Zelsmann M, Boussey J
2276 - 2280 Understanding the effects of photoacid distribution homogeneity and diffusivity on critical dimension control and line edge roughness in chemically amplified resists
Lee CT, Lawson RA, Henderson CL
2281 - 2289 Contributions of resist polymers to innate material roughness
Fedynyshyn TH, Astolfi DK, Goodman RB, Cann S, Roberts J
2290 - 2294 Optical characterization of a hydrogen silsesquioxane lithography process
Samarelli A, Macintyre DS, Strain MJ, De La Rue RM, Sorel M, Thoms S
2295 - 2299 Influence of base and photoacid generator on deprotection blur in extreme ultraviolet photoresists and some thoughts on shot noise
Anderson CN, Naulleau PP, Niakoula D, Hassanein E, Brainard R, Gallatin G, Dean K
2300 - 2305 The effect of thin metal overlayers on the electron beam exposure of polymethyl methacrylate
Samantaray CB, Hastings JT
2306 - 2310 The effects of molecular weight on the exposure characteristics of poly(methylmethacrylate) developed at low temperatures
Yan M, Choi S, Subramanian KRV, Adesida I
2311 - 2315 Effect of microstructure on deprotection kinetics in photoresist
Fryer DS, Singh V, Bollepalli SB, Granovsky AA
2316 - 2321 Field-programmable gate array implementation of real-time spatial-phase locking for electron-beam lithography
Yang YG, Hastings JT
2322 - 2330 Abbe singular-value decomposition: Compact Abbe's kernel generation for microlithography aerial image simulation using singular-value decomposition method
Chen CCP, Gurhanli A, Chiang TY, Hong JJ, Melvin LS
2331 - 2336 Aberration correction for electron beam inspection, metrology, and lithography
Munro E, Rouse J, Liu HN, Wang LP
2337 - 2340 Study of machine to machine overlay error for sub-60-nm memory devices
Shin J, Lee S, Yeo J, Kim H, Lee J, Han W
2341 - 2344 Nanometer-level alignment to a substrate-embedded coordinate system
Moon EE, Smith HI
2345 - 2350 Resist charging effect in photomask: Its impact on pattern placement error and critical dimension
Choi J, Nam DS, Kim BG, Woo SG, Cho HK
2351 - 2355 Self-assembled monolayer fiducial grids for spatial-phase-locked electron-beam lithography
Samantaray CB, Hastings JT
2356 - 2361 Development of the compact low-energy soft x-ray CT instrument for the soft material structural analysis
Miyoshi M, Hamakubo T, Kodama T, Tsuchiya M, Koishikawa A, Aoki N
2362 - 2366 X-ray diffraction microscopy: Reconstruction with partial magnitude and spatial a priori information
Rad LB, Downes I, Dai B, Zhu DL, Scherz A, Ye J, Pianetta P, Pease RFW
2367 - 2373 Scanning proximal probes for parallel imaging and lithography
Ivanova K, Sarov Y, Ivanov T, Frank A, Zollner J, Bitterlich C, Wenzel U, Volland BE, Klett S, Rangelow IW, Zawierucha P, Zielony M, Gotszalk T, Dontzov D, Schott W, Nikolov N, Zier M, Schmidt B, Engl W, Sulzbach T, Kostic I
2374 - 2379 Neutral atom and molecule focusing using a Fresnel zone plate
Reisinger T, Holst B
2380 - 2384 Quality assessment of antisticking layers for thermal nanoimprint
Scheer HC, Hafner W, Fidler A, Mollenbeck S, Bogdanski N
2385 - 2389 Patterning of polyfluorene based polymer light emitting diodes by reversal imprint lithography
Cardozo BL, Pang SW
2390 - 2393 Fabrication of the nanoimprint mold using inorganic electron beam resist with post exposure bake
Unno N, Taniguchi J, Shizuno M, Ishikawa K
2394 - 2398 Fluorinated diamondlike carbon templates for high resolution nanoimprint lithography
Schvartzman M, Mathur A, Kang Y, Jahnes C, Hone J, Wind SJ
2399 - 2403 Experimental and numerical analyses on recovery of polymer deformation after demolding in the hot embossing process
Takagi H, Takahashi M, Maeda R, Onishi Y, Iriye Y, Iwasaki T, Hirai Y
2404 - 2409 Improving organic thin-film transistor performance by nanoimprint-induced chain ordering
Cui D, Li H, Park H, Cheng X
2410 - 2415 Full field imprint masks using variable shape beam pattern generators
Selinidis K, Thompson E, Schmid G, Stacey N, Perez J, Maltabes J, Sreenivasan SV, Resnick DJ, Fujii A, Sakai Y, Sasaki S, Hayashi N
2416 - 2420 Contact angles in a thermal imprint process
Bogdanski N, Mollenbeck S, Scheer HC
2421 - 2425 Metal transfer assisted nanolithography on rigid and flexible substrates
Kang MG, Guo LJ
2426 - 2429 Easy duplication of stamps using UV-cured fluoro-silsesquioxane for nanoimprint lithography
Pina-Hernandez C, Fu PF, Guo LJ
2430 - 2433 Comparison of monomer and polymer resists in thermal nanoimprint lithography
Zelsmann M, Toralla K, De Girolamo J, Boutry D, Gourgon C
2434 - 2440 Exploration of etch step interactions in the dual patterning process for process modeling
Melvin LS, Ward BS, Song H, Rhie SU, Lucas KD, Wiaux V, Verhaegen S, Maenhoudt M
2441 - 2446 Pattern specific optical models
Tejnil E, Adam K, Lam MC, Berger G
2447 - 2450 Catalyst patterning for carbon nanotube growth on elevating posts by self-aligned double-layer electron beam lithography
Haffner M, Heeren A, Haug A, Schuster E, Sagar A, Fleischer M, Peisert H, Burghard M, Chasse T, Kern DP
2451 - 2454 Direct patterning of plasma enhanced chemical vapor deposition silicon dioxide by electron beam lithography
Brown DK
2455 - 2459 Preferential orientation effects in partial melt laser crystallization of silicon
Witte DJ, Masbou MPA, Crnogorac F, Pease RFW, Pickard DS
2460 - 2463 Electron-beam-induced deposition of platinum at low landing energies
Botman A, de Winter DAM, Mulders JJL
2464 - 2467 Investigation of morphological changes in platinum-containing nanostructures created by electron-beam-induced deposition
Botman A, Hesselberth M, Mulders JJL
2468 - 2472 Ion track lithography and graphitic nanowires in diamondlike carbon
Krauser J, Nix AK, Gehrke HG, Hofsass H, Trautmann C, Weidinger A, Wunsch F, Bruns J
2473 - 2477 Optical properties of sputtered fluorinated ethylene propylene and its application to surface-plasmon resonance sensor fabrication
Keathley PD, Hastings JT
2478 - 2483 Relief and trench formation on chalcogenide thin films using electron beams
Hoffman GB, Liu WC, Zhou W, Sooryakumar R, Boolchand P, Reano RM
2484 - 2488 Impact of trench width roughness on the graphoepitaxial assembly of block copolymers
Welander AM, Nealey PF, Cao H, Bristol R
2489 - 2494 Si-containing block copolymers for self-assembled nanolithography
Ross CA, Jung YS, Chuang VP, Ilievski F, Yang JKW, Bita I, Thomas EL, Smith HI, Berggren KK, Vancso GJ, Cheng JY
2495 - 2499 Directed assembly of asymmetric ternary block copolymer-homopolymer blends using symmetric block copolymer into checkerboard trimming chemical pattern
Kang H, Craig GSW, Nealey PF
2500 - 2503 The replication of three dimensional structures using UV curable nanoimprint lithography
Mohamed K, Alkaisi MM, Blaikie RJ
2504 - 2508 In situ characterization of block copolymer ordering on chemically nanopatterned surfaces by time-resolved small angle x-ray scattering
Stuen KO, Liu C, Welander AM, Liu G, de Pablo JJ, Nealey PF, Satapathy DK, Nygard K, Bunk O, Solak HH, van der Veen JF
2509 - 2512 Carbon nanotube-based magnetic actuation of origami membranes
In HJ, Lee H, Nichol AJ, Kim SG, Barbastathis G
2513 - 2519 Assisted convective-capillary force assembly of gold colloids in a microfluidic cell: Plasmonic properties of deterministic nanostructures
Pinedo Rivera T, Lecarme O, Hartmann J, Rossitto E, Berton K, Peyrade D
2520 - 2523 Pulsed laser techniques for nanographoepitaxy
Crnogorac F, Witte DJ, Pease RFW
2524 - 2528 Directed self-assembly of individual vertically aligned carbon nanotubes
Goyal A, Liu S, Iqbal Z, Fetter LA, Farrow RC
2529 - 2533 Three-dimensional alignment with 10 nm order accuracy in electron-beam lithography on rotated sample for three-dimensional nanofabrication
Yamazaki K, Yamaguchi H
2534 - 2538 Microscale self-assembly using molten alloys with different melting points
Morris CJ, Dubey M
2539 - 2542 Chip-based microfabricated electrospinning nozzles
Bellan LM, Alpha C, Corso T, Henion J, Craighead HG
2543 - 2548 High-aspect-ratio plasma-induced nanotextured poly(dimethylsiloxane) surfaces with enhanced protein adsorption capacity
Vlachopoulou ME, Petrou PS, Kakabakos SE, Tserepi A, Gogolides E
2549 - 2553 Fabrication of elastomer pillar arrays with modulated stiffness for cellular force measurements
Ghassemi S, Biais N, Maniura K, Wind SJ, Sheetz MP, Hone J
2554 - 2557 Optimizing substrate disorder for bone tissue engineering of mesenchymal stem cells
Gadegaard N, Dalby MJ, Riehle MO, Wilkinson CDW
2558 - 2561 Nanomodified surfaces and guidance of nerve cell processes
Johansson F, Hallstrom W, Gustavsson P, Wallman L, Prinz C, Montelius L, Kanje M
2562 - 2566 Imprinted large-scale high density polymer nanopillars for organic solar cells
Aryal M, Buyukserin F, Mielczarek K, Zhao XM, Gao JM, Zakhidov A, Hu WC
2567 - 2571 Direct write electron beam patterning of DNA complex thin films
Jones RA, Li WX, Spaeth H, Steckl AJ
2572 - 2577 Hybridization sensing by electrical enhancement with nanoparticles in nanogap
Chen CC, Ko FH, Chang EY, Chang FC, Kuo SW
2578 - 2582 Control of DNA motion in microchannels integrated with dual electrodes
Cardozo BL, Pang SW
2583 - 2586 Hybrid high resolution lithography (e-beam/deep ultraviolet) and etch process for the fabrication of stacked nanowire metal oxide semiconductor field effect transistors
Pauliac-Vaujour S, Comboroure C, Vizioz C, Barnola S, Brianceau P, Alvaro VM, Dupre C, Ernst T
2587 - 2591 Silicon single-electron transistor with oxide tunnel barriers fabricated using chemical mechanical polishing
Joshi V, Orlov AO, Snider GL
2592 - 2595 Prospects of free electron analog to digital technology
Aldana R, Pease RF
2596 - 2600 Single atom doping for quantum device development in diamond and silicon
Weis CD, Schuh A, Batra A, Persaud A, Rangelow IW, Bokor J, Lo CC, Cabrini S, Sideras-Haddad E, Fuchs GD, Hanson R, Awschalom DD, Schenkel T
2601 - 2603 ZnO nanostructures grown on zinc nanocones by thermal oxidation
Chao LC, Liau CC, Lin SJ, Lee JW
2604 - 2610 Toward 1 Tdot/in.(2) nanoimprint lithography for magnetic bit-patterned media: Opportunities and challenges
Yang XM, Xu Y, Seiler C, Wan L, Xiao SG
2611 - 2618 Potential of a rotary stage electron beam mastering system for fabricating patterned magnetic media
Miyazaki T, Hayashi K, Kobayashi K, Kuba Y, Ohyi H, Obara T, Mizuta O, Murayama N, Tanaka N, Kawamura Y, Uemoto H
2619 - 2623 Electrothermal actuation studies on silicon carbide resonators
Mastropaolo E, Cheung R
2624 - 2627 Novel SU-8 optical waveguide microgripper for simultaneous micromanipulation and optical detection
Panepucci RR, Martinez JA
2628 - 2631 Resistivity change of the diamondlike carbon, deposited by focused-ion-beam chemical vapor deposition, induced by the annealing treatment
Kometani R, Ichihashi T, Kanda K, Suzuki T, Niihara K, Ishihara S, Kaito T, Matsui S
2632 - 2635 Nanofabrication of super-high-aspect-ratio structures in hydrogen silsesquioxane from direct-write e-beam lithography and hot development
Ocola LE, Tirumala VR