1853 - 1860 |
Electrostatic tip-surface interaction in scanning force microscopy : A convenient expression useful for arbitrary tip and sample geometries Zypman FR, Eppell SJ |
1861 - 1864 |
Local work function for Cu(111)-Au surface studied by scanning tunneling microscopy Jia JF, Inoue K, Hasegawa Y, Yang WS, Sakurai T |
1865 - 1870 |
In situ scanning force microscopy study of TiN layers in sulphuric acid Herranen M, Nordin M, Carlsson JO |
1871 - 1875 |
Scanning thermal microscope tip-induced chemical reaction on solid organometallic compound thin films Zhou L, Xu GQ, Ng HT, Li SFY |
1876 - 1883 |
Tunneling spectroscopy on semiconductors with a low surface state density Sommerhalter C, Matthes TW, Boneberg J, Leiderer P, Lux-Steiner MC |
1884 - 1888 |
Dielectric breakdown of silicon oxide studied by scanning probe microscopy Yasue T, Yoshida Y, Koyama H, Kato T, Nishioka T |
1889 - 1892 |
Synthesis, structure, and optical properties of nanometer-sized In2O3 capped by anionic surfactant Wu XC, Wang RY, Zou BS, Wu PF, Xu JR, Wei H |
1893 - 1898 |
Evolution of surface morphology in the initial stage of nitridation of the Si(111)-7x7 surface by nitrogen ions Ha JS, Park KH, Yun WS, Lee EH, Park SJ |
1899 - 1901 |
Fourier transform infrared study of porous silicon dipped into Cr3+ solution Huang YM, Zhai BG |
1902 - 1907 |
Expression for the growth rate of selective epitaxial growth of silicon using dichlorosilane, hydrogen chloride, and hydrogen in a low pressure chemical vapor deposition pancake reactor Kongetira P, Neudeck GW, Takoudis CG |
1908 - 1912 |
Determination of trace metallic impurities on 200-mm silicon wafers by time-of-flight secondary-ion-mass spectroscopy Chu PK, Schueler BW, Reich F, Lindley PM |
1913 - 1918 |
Degradation measurements using fully processed test transistors in high density plasma reactors for failure analysis Muniandy R, Boylan R, Chin R, Bell N, Sankman R |
1919 - 1926 |
Low temperature deposition of SiNx : H using SiH4-N-2 or SiH4-NH3 distributed electron cyclotron resonance microwave plasma Delmotte F, Hugon MC, Agius B, Courant JL |
1927 - 1935 |
Effects of cleaning and postoxidation annealing on thin oxides Franco G, Camalleri CM, Raineri V, Ghidini G, Clementi C, Pellizzer F |
1936 - 1942 |
Integration of unit processes in a shallow trench isolation module for a 0.25 mu m complementary metal-oxide semiconductor technology Chatterjee A, Ali I, Joyner K, Mercer D, Kuehne J, Mason M, Esquivel A, Rogers D, O'Brien S, Mei P, Murtaza S, Kwok SP, Taylor K, Nag S, Hames G, Hanratty M, Marchman H, Ashburn S, Chen IC |
1943 - 1948 |
Correlated electrical and optical measurements of firing semiconductor bridges Kim J, Kim SG, Jungling KC |
1949 - 1953 |
Generation of subquarter-micron resist structures using optical interference lithography and image reversal Decker JY, Fernandez A, Sweeney DW |
1954 - 1960 |
Investigation of the proximity effect in amorphous AlF3 electron-beam resists Chen GS, Humphreys CJ |
1961 - 1965 |
Air-bridges, air-ramps, planarization, and encapsulation using pyrolytic photoresist in the fabrication of three-dimensional microstructures Porkolab GA, Chen YJ, Tabatabaei SA, Agarwala S, Johnson FG, King O, Dagenais M, Frizzell RE, Beard WT, Stone DR |
1966 - 1970 |
Polarization-dependent contrast in near-field optical microscopy Kobayashi K, Watanuki O |
1971 - 1982 |
Synthesis of electrostatic focusing and deflection systems Szilagyi M, Cho H |
1983 - 1986 |
Effect of rapid thermal annealing on the microstructure and electrical characteristics of Au/Ni/Au/Ge/Ni multilayers deposited on n-type InGaAs Morais J, Fazan TA, Landers R, Pereira RG, Sato EAS, Carvalho W |
1987 - 1989 |
Bias-temperature stability of the Cu(Mg)/SiO2/p-Si metal-oxide-semiconductor capacitors de Felipe TS, Murarka SP, Bedell S, Lanford WA |
1990 - 1994 |
Characterization of AL-Y alloy thin films deposited by direct current magnetron sputtering Liu Y, Singh R, Poole K, Diefendorf RJ, Harriss J, Cannon K |
1995 - 2000 |
Growth and fractal scaling nature of copper thin films on TiN surface by metal organic chemical vapor deposition from hexafluoroacethylacetonate Cu-(I) vinyltrimethylsilane Park YB, Rhee SW, Hong JH |
2001 - 2010 |
Effect of the in situ thermal treatments on Ir/n-type Si(111) Schottky contacts Jimenez-Leube FJ, Clement M, Sanz-Maudes J, Blanco JM, Serrano JJ |
2011 - 2015 |
Influence of AlSiTi grain boundaries on the plasma etch rate Schonbachler E, Lecohier B, Fichtner W |
2016 - 2020 |
Schottky contacts on reactive-ion etched InGaP Kuzmik J, Darmo J, Kudela R, Hascik S, Mozolova Z |
2021 - 2025 |
Molecular beam epitaxy growth of Iny2Al1-y2As/In0.73Ga0.27As/Iny1Al1-y1As/InP P-HEMTs with enhancement conductivity using an intentional nonlattice-matched buffer layer Jiang C, Xu B, Li HX, Liu FQ, Gong Q, Zhou W, Zhu DH, Liang JB, Wang ZG |
2026 - 2030 |
Structure and interfacial stability of (111)-oriented InAsSb/InAs strained-layer multiquantum well structures Theiring SC, Pillai MR, Barnett SA, Wessels BW |
2031 - 2036 |
Fabrication of high-aspect-ratio InP-based vertical-cavity laser mirrors using CH4/H-2/O-2/Ar reactive ion etching Schramm JE, Babic DI, Hu EL, Bowers JE, Merz JL |
2037 - 2045 |
High-resolution depth profiling of InxGa1-xAs/GaAs multiple quantum well structures by combination of secondary ion mass spectrometry and x-ray diffraction techniques Gerardi C, Giannini C, Passaseo A, Tapfer L |
2046 - 2051 |
Surface modification of InP by diffraction-patterning utilizing laser dry etching Prasad M, Ruda HE, Dubowski JJ |
2052 - 2056 |
Compression in transconductance at low gate voltages in submicron GaAs metal semiconductor field-effect transistors Ahmed MM |
2057 - 2066 |
Role of rate window, transient time, and reverse bias field on the deep levels of LT-GaAs by field effect transient spectroscopy Halder NC, Goodman T |
2067 - 2071 |
Electrical characterization of diamond and graphite coated Mo field emitters McClure MT, Schlesser R, McCarson BL, Sitar Z |
2072 - 2076 |
Field emission characteristics of diamondlike carbon films synthesized by pulsed laser deposition process using a Au-intermediate layer Chuang FY, Wang WC, Cheng HF, Sun CY, Lin IN |
2077 - 2078 |
Silicon nanocolloids prepared by a newly designed dc sputtering apparatus Zhu Y, Kimura K, Zhang LD |
2091 - 2091 |
Papers from the 41st International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Preface Owen G |
2093 - 2100 |
Nanofabrication using neutral atomic beams Thywissen JH, Johnson KS, Younkin R, Dekker NH, Berggren KK, Chu AP, Prentiss M, Lee SA |
2101 - 2108 |
Single electron electronics : Challenge for nanofabrication Ahmed H |
2109 - 2111 |
Potentials and challenges for lithography beyond 193 nm optics Canning J |
2112 - 2116 |
Lithography with 157 nm lasers Bloomstein TM, Horn MW, Rothschild M, Kunz RR, Palmacci ST, Goodman RB |
2117 - 2124 |
X-ray lithography : Status, challenges, and outlook for 0.13 mu m Silverman JP |
2125 - 2129 |
Electron beam lithography for 0.13 mu m manufacturing McCord MA |
2130 - 2135 |
Scattering with angular limitation projection electron beam lithography for suboptical lithography Harriott LR |
2136 - 2138 |
Ion projection lithography : Next generation technology? Gross G |
2139 - 2145 |
Two-dimensional stage self-calibration : Role of symmetry and invariant sets of points Raugh MR |
2146 - 2150 |
Neural network model for global alignment incorporating wafer and stage distortion Ghazanfarian AA, Pease RFW, Chen X, McCord MA |
2151 - 2154 |
Monitoring of subquartermicron line and space pattern by ellipsometry Arimoto H |
2155 - 2161 |
Scanning electron microscope matching and calibration for critical dimensional metrology Marchman H |
2162 - 2166 |
Photon tunneling microscopy of latent resist images Liddle JA, Fernandez AT, Cirelli R, Mkrtchyan MM, Novembre AE, Peabody ML, Watson GP |
2167 - 2172 |
Application of transmission electron detection to SCALPEL mask metrology Farrow RC, Postek MT, Keery WJ, Jones SN, Lowney JR, Blakey M, Fetter LA, Griffith JE, Liddle JA, Hopkins LC, Huggins HA, Peabody M, Novembre A |
2173 - 2176 |
Obtaining a physical two-dimensional Cartesian reference Takac MT, Ye J, Raugh MR, Pease RF, Berglund CN, Owen G |
2177 - 2180 |
Evaluation of the long-term stability of critical-dimension measurement scanning electron microscopes using a calibration standard Mizuno F, Shimizu M, Sasada K, Mizuno T |
2181 - 2184 |
Contamination reduction in low voltage electron-beam microscopy for dimensional metrology Bruenger WH, Kleinschmidt H, Hassler-Grohne W, Bosse H |
2185 - 2188 |
Accurate alignment on asymmetrical signals Chen X, Ghazanfarian AA, McCord M, Pease RFW |
2189 - 2192 |
Wall angle measurement with a scanning probe microscope employing a one-dimensional force sensor Griffith JE, Hopkins LC, Bryson CE, Berghaus A, Snyder EJ, Plombon JJ, Vasilyev LA, Hecht M, Bindell JB |
2193 - 2196 |
Design and characterization of a high numerical aperture lens system for scanned laser lithography Allen PC, Bohan MJ, Buck PD |
2197 - 2203 |
Metrology of scattering with angular limitation projection electron lithography masks Liddle JA, Blakey MI, Saunders T, Farrow RC, Fetter LA, Knurek CS, Kasica R, Novembre AE, Peabody ML, Tennant DM, Windt DL, Postek M |
2204 - 2208 |
Design and implementation of a real-time hierarchical parallel postprocessor for 100 keV electron beam lithography Muray LP, Anderson EH, Boegli V |
2209 - 2213 |
Measurement of resist heating in photomask fabrication Babin S |
2214 - 2217 |
Ion absorbing stencil mask coatings for ion beam lithography Wasson JR, Torres JL, Rampersad HR, Wolfe JC, Ruchhoeft P, Herbordt M, Loschner H |
2218 - 2223 |
Novel technique for improving pattern placement in membrane mask making Perkins FK, Marrian CRK, Peckerar MC |
2224 - 2227 |
Conductive polyaniline : Applications in x-ray mask making Lawliss MS, Rocque JM, Angelopoulos M, Puisto DM, Henry RW |
2228 - 2231 |
Low-stress sputtered chromium-nitride hardmasks for x-ray mask fabrication Tsuboi S, Kotsuji S, Yoshihara T, Suzuki K |
2232 - 2237 |
Uniform low stress oxynitride films for application as hardmasks on x-ray masks Dauksher WJ, Resnick DJ, Smith SM, Pendharkar SV, Tompkins HG, Cummings KD, Seese PA, Mangat PJS, Chan JA |
2238 - 2242 |
Automatic mask generation in x-ray lithography Bollepalli BS, Khan M, Cerrina F |
2243 - 2248 |
Practical approach to separating the pattern generator-induced mask CD errors from the blank/process-induce mask CD errors using conventional market measurements Han LQ, Wang WD, McCord MA, Berglund CN, Pease RFW, Weaver LS |
2249 - 2254 |
Pattern placement errors in mask membranes Fisher AH, Laudon MF, Engelstad RL, Lovell EG, Cerrina F |
2255 - 2258 |
Revisiting phase shifting masks in x-ray lithography Khan M, Bollepalli S, Cerrina F |
2259 - 2262 |
Plasma reactive ion etching of 193 nm attenuated phase shift mask materials Smith BW, Fonseca C, Zavyalova L, Alam Z, Bourov A |
2263 - 2268 |
Effects of accelerating voltage and pattern size on electron scattering by electron-beam mask Yamashita H, Nomura E, Nozue H |
2269 - 2273 |
Resistless electron beam lithography process for the fabrication of sub-50 nm silicide structures Drouin D, Beauvais J, Lavallee E, Michel S, Mouine J, Gauvin R |
2274 - 2278 |
Process development of sub-0.5 mu m nonvolatile magnetoresistive random access memory arrays Nordquist K, Pendharkar S, Durlam M, Resnick D, Tehrani S, Mancini D, Zhu T, Shi J |
2279 - 2283 |
Electron optical system for the x-ray mask writer EB-X2 Saito K, Morita H, Kato J, Shimazu N |
2284 - 2288 |
Performance of Zr/O/W Schottky emitters at reduced temperatures Kim HS, Yu ML, Thomson MGR, Kratschmer E, Chang THP |
2289 - 2292 |
Blanked aperture array for parallel electron beam lithography Winograd GI, Pease RFW, McCord MA |
2293 - 2297 |
Spatial-phase-locked electron-beam lithography with a delay-locked loop Goodberlet J, Ferrera J, Smith HI |
2298 - 2302 |
Energy dependence of proximity parameters investigated by fitting before measurement tests Aparshina LI, Dubonos SV, Maksimov SV, Svintsov AA, Zaitsev SI |
2303 - 2308 |
Dose, shape, and hybrid modifications for PYRAMID in electron beam proximity effect correction Cook BD, Lee SY |
2309 - 2312 |
Dose modification proximity effect correction scheme with inherent forward scattering corrections Watson GP, Fetter LA, Liddle JA |
2313 - 2317 |
Deep submicron resist profile simulation and characterization of electron beam lithography system for cell projection and direct writing Ham YM, Lee C, Kim SH, Chun K |
2318 - 2322 |
Atomic force microscope studies of nanolithographic exposure and development of polymethylmethacrylate Dobisz EA, Brandow SL, Snow E, Bass R |
2323 - 2326 |
Resist processes for low-energy electron-beam lithography Schock KD, Prins FE, Strahle S, Kern DP |
2327 - 2331 |
Control in sub-100 nm lithography in SAL-601 Dobisz EA, Marrian CRK |
2332 - 2336 |
Minimum emission current of liquid metal ion sources Beckman JC, Chang THP, Wagner A, Pease RFW |
2337 - 2341 |
Fabrication of in situ Ohmic contacts patterned in three dimensions using a focused ion beam during molecular beam epitaxial growth Sazio PJA, Jones GAC, Linfield EH, Ritchie DA |
2342 - 2345 |
N channel metal-oxide-semiconductor field-effect transistor with 0.15 mu m gate delineated by focused ion beam lithography Suzuki K, Yamashita M, Kawakami N, Nakaue A |
2346 - 2349 |
Focused ion beam sputter yield change as a function of scan speed Santamore D, Edinger K, Orloff J, Melngailis J |
2350 - 2354 |
Focused ion beam milling : Depth control for three-dimensional microfabrication Vasile MJ, Niu Z, Nassar R, Zhang W, Liu S |
2355 - 2357 |
Chemically amplified deep ultraviolet resist for positive tone ion exposure Bruenger WH, Torkler M, Buchmann LM, Finkelstein W |
2358 - 2361 |
Printing halftone photographic images on diamond by focused silicon ion implantation Erickson LE, Champion HG, Fraser JW, Hussey R, Schmuki P, Porco C |
2362 - 2364 |
Nanoparticle impact micromachining Gruber A, Gspann J |
2365 - 2368 |
Development of a high brightness gas field ion source Edinger K, Yun V, Melngailis J, Orloff J, Magera G |
2369 - 2372 |
Stochastic Coulomb interactions in ion projection lithography systems with aberration-broadened crossover Kruit P, Barth JE, Lammer G, Chalupka A, Vonach H, Loschner H, Stengl G |
2373 - 2378 |
Optimization of experimental operating parameters for very high resolution focused ion beam applications Gierak J, Vieu C, Schneider M, Launois H, Ben Assayag G, Septier A |
2379 - 2381 |
Fabrication of high-temperature superconductor Josephson junctions by focused ion beam milling Chen CH, Trajanovic Z, Dong ZW, Lobb CJ, Venkatesan T, Edinger K, Orloff J, Melngailis J |
2382 - 2386 |
Programmable aperture plate for maskless high-throughput nanolithography Berry IL, Mondelli AA, Nichols J, Melngailis J |
2387 - 2393 |
Data analysis methods for evaluating lithographic performance Ferguson RA, Martino RM, Brunner TA |
2394 - 2398 |
Algorithm for shifter placement with flexible order prioritizing Haruki T, Tomita J, Asai S, Hanyu I |
2399 - 2403 |
Characterizing partial coherence uniformity in a deep ultraviolet step and repeat tool Watson GP, Cirelli RA, Mkrtchyan M, Travers RJ |
2404 - 2411 |
Photolithography at 0.10 and 0.13 mu m using ArF excimer laser lithography in combination with resolution enhancement techniques Chan M, Kunz RR, Doran SP, Rothschild M |
2412 - 2416 |
Optimal coherent decompositions for radially symmetric optical systems von Bunau RM, Pati YC, Wang YT, Pease RFW |
2417 - 2421 |
Fresnel diffraction mask for optical projection lithography Watanabe H, Okuda Y |
2422 - 2425 |
Towards 280 nm i-line random logic lithography with off-axis illumination and optical proximity correction Grodnensky I, Watson GP, Garofalo J, Castro D, Zych L, Lee W |
2426 - 2433 |
Optical proximity correction for intermediate-pitch features using sub-resolution scattering bars Chen JF, Laidig T, Wampler KE, Caldwell R |
2434 - 2438 |
Viability of conventional KrF imaging for 150 nm lithography Azuma T, Matsunaga K, Kawamura D, Mimotogi S, Onishi Y |
2439 - 2443 |
Methods for fabricating arrays of holes using interference lithography Fernandez A, Decker JY, Herman SM, Phillion DW, Sweeney DW, Perry MD |
2444 - 2447 |
Investigation into excimer laser radiation damage of deep ultraviolet optical phase masking films Smith BW, Zavyalova L, Bourov A, Butt S, Fonseca C |
2448 - 2451 |
Use of attenuated phase masks in extreme ultraviolet lithography Wood OR, White DL, Bjorkholm JE, Fetter LE, Tennant DM, MacDowell AA, LaFontaine B, Kubiak GD |
2452 - 2454 |
Mask blanks for extreme ultraviolet lithography : Ion beam sputter deposition of low defect density Mo/Si multilayers Kearney PA, Moore CE, Tan SI, Vernon SP, Levesque RA |
2455 - 2461 |
At-wavelength interferometry for extreme ultraviolet lithography Tejnil E, Goldberg KA, Lee SH, Medecki H, Batson PJ, Denham PE, MacDowell AA, Bokor J, Attwood D |
2462 - 2466 |
At-wavelength characterization of an extreme ultraviolet camera from low to mid-spatial frequencies with a compact laser plasma source Ray-Chaudhuri AK, Krenz KD, Fields CH |
2467 - 2470 |
Minimum critical defects in extreme-ultraviolet lithography masks Lin Y, Bokor J |
2471 - 2475 |
Evaluation of alignment accuracy in processed wafers and SiC masks on a scattered-light alignment system for x-ray aligners Miyatake T, Hirose M, Shoki T, Ohkubo R, Yamazaki K |
2476 - 2482 |
Overlay performance of 180 nm ground rule generation x-ray lithography aligner Chen AC, Flamholz AL, Rippstein R, Fair RH, Heald DA, Amodeo RJ |
2483 - 2488 |
Origin of stress distribution in sputtered x-ray absorber film Iba Y, Kumasaka F, Aoyama H, Taguchi T, Yamabe M |
2489 - 2494 |
Sub-20 nm x-ray nanolithography using conventional mask technologies on monochromatized synchrotron radiation Simon G, Haghiri-Gosnet AM, Bourneix J, Decanini D, Chen Y, Rousseaux F, Launois H, Vidal B |
2495 - 2502 |
X-ray micro- and nanofabrication using a laser-plasma source at 1 nm wavelength Turcu ICE, Allot RM, Mann CM, Reeves C, Ross IN, Lisi N, Maddison BJ, Moon SW, Prewett P, Stevenson JTM, Ross AWS, Gundlach AM, Koek B, Mitchell P, Anastasi P, McCoard C, Kim NS |
2503 - 2508 |
Novel illumination system of synchrotron radiation stepper with full field exposure method Watanabe Y, Hara S, Mizusawa N, Fukuda Y, Uzawa S |
2509 - 2513 |
Direct measurement of the effect of substrate photoelectrons in x-ray nanolithography Carter DJD, Pepin A, Schweizer MR, Smith HI, Ocola LE |
2514 - 2516 |
X-ray fabrication of nonorthogonal structures using "surface" masks White V, Herdey C, Denton DD, Song J |
2517 - 2521 |
X-ray lithography for <= 100 nm ground rules in complex patterns Hector S, Pol V, Krasnoperova A, Maldonado J, Flamholz A, Heald D, Stahlhammer C, Galburt D, Amodeo R, Donohue T, Wind S, Buchigniano J, Viswanathan R, Khan M, Bollepalli S, Cerrina F |
2522 - 2527 |
Design and fabrication of Fresnel zone plates with large numbers of zones Chen Z, Vladimirsky Y, Brown M, Leonard Q, Vladimirsky O, Moore F, Cerrina F, Lai B, Yun W, Gluskin E |
2528 - 2533 |
Resist design concepts for 193 nm lithography : Opportunities for innovation and invention Reichmanis E, Nalamasu O, Houlihan FM, Wallow TI, Timko AG, Cirelli R, Dabbagh G, Hutton RS, Novembre AE, Smith BW |
2534 - 2540 |
Specific behavior of chemically amplified systems with low activation energy under electron-beam exposure : Implementation of 248 and 193 nm resists Mortini B, Tedesco S, Dal'Zotto B, Paniez P |
2541 - 2544 |
Polymer structure effect on dissolution characteristics and acid diffusion in chemically amplified deep ultraviolet resists Itani T, Yoshino H, Hashimoto S, Yamana M, Samoto N, Kasama K |
2545 - 2549 |
Latent image characterization of postexposure bake process in chemically amplified resists Ocola LE, Cerrina F, May T |
2550 - 2554 |
Deep ultraviolet resists AZ DX-561 and AZ DX-1300P applied for electron beam and masked ion beam lithography Hudek P, Kostic I, Belov M, Rangelow IW, Shi F, Pawlowski G, Spiess W, Buschbeck H, Cekan E, Eder S, Loschner H |
2555 - 2560 |
Low energy electron beam top surface image processing using chemically amplified AXT resist Whelan CS, Tanenbaum DM, La Tulipe DC, Isaacson M, Craighead HG |
2561 - 2564 |
Theoretical discussion of diffusion effects in negative chemically amplified resists based on contrast curve simulation Patsis GP, Meneghini G, Glezos N, Argitis P |
2565 - 2569 |
Stress dependent silylation model and two-dimensional profile simulation Zuniga MA, Neureuther AR |
2570 - 2574 |
C-60-incorporated nanocomposite resist system for practical nanometer pattern fabrication Ishii T, Nozawa H, Tamamura T, Ozawa A |
2575 - 2581 |
Increasing plasma etch resistance of resists using fullerene additives Dentinger PM, Taylor JW |
2582 - 2586 |
Radiation-induced reactions of chemically amplified x-ray and electron-beam resists based on deprotection of t-butoxycarbonyl groups Kozawa T, Nagahara S, Yoshida Y, Tagawa S, Watanabe T, Yamshita Y |
2587 - 2595 |
Optimizing the resist to the aerial image in a chemically amplified system Fedynyshyn TH, Szmanda CR, Cernigliaro GJ |
2596 - 2600 |
Nanolithography performances of ultraviolet III chemically amplified positive resist Grella L, Gentili M, Di Fabrizio E, Baciocchi M, Mastrogiacomo L, Maggiora R, Scopa L |
2601 - 2604 |
Investigation of the notching effect for single layer deep ultraviolet resist processing Yoshino H, Itani T, Hashimoto S, Yamana M, Yoshii T, Samoto N, Kasama K |
2605 - 2609 |
Top surface imaging process at and below quarter-micron resolution and pattern transfer into metal Irmscher M, Hofflinger B, Reuter C, Springer R, Stauffer C, Puttock M |
2610 - 2615 |
T-top forming simulation using percolation theory Kamon K, Nakazawa K, Yamaguchi A, Matsuzawa N, Ohfuji T, Kanzaki K, Tagawa S |
2616 - 2620 |
Developer temperature effect on negative deep ultraviolet resists : Characterization, modeling, and simulation Hagouel PI, Karafyllidis I, Neureuther AR |
2621 - 2626 |
Ultrasonic and dip resist development processes for 50 nm device fabrication Lee KL, Bucchignano J, Gelorme J, Viswanathan R |
2627 - 2631 |
Quarter-micron chemically amplified reaction image determination using arrested development Rhyner SJ, Taylor JW |
2632 - 2638 |
Quantification of the extent of reaction in a negative, novolac-based, chemically amplified resist Dentinger PM, Taylor JW |
2639 - 2642 |
0.1 mu m WSiN-gate fabrication of GaAs metal-semiconductor field effect transistors using electron cyclotron resonance ion stream etching with SF6-CF4-SiF4-O-2 Jin Y, Takahashi C, Nishimura K, Ono T, Matsuo S |
2643 - 2647 |
Time dependence of etch-induced damage generated by an electron cyclotron resonance source Berg EW, Pang SW |
2648 - 2651 |
Characterization of the radiation-enhanced diffusion of dry-etch damage in n-GaAs Chen CH, Chiu YJ, Hu EL |
2652 - 2655 |
Ion induced damage in strained CdZnSe/ZnSe quantum well structures Sparing LM, Wang PD, Mintairov AM, Lee S, Bindley U, Chen CH, Shi SS, Furdyna JK, Merz JL, Snider GL |
2656 - 2660 |
Low damage thermally assisted electron cyclotron resonance etch technology for wide bandgap II-VI materials Kummell T, Bacher G, Forchel A, Nurnberger J, Faschinger W, Landwehr G, Jobst B, Hommel D |
2661 - 2665 |
High reflectivity micromirrors fabricated by coating high aspect ratio Si sidewalls Juan WH, Kao YH, Pang SW |
2666 - 2671 |
Layer-by-layer sputtering of Si(111) and (001) surfaces mediated by surface vacancy diffusion : Surface physics and application for nanofabrication Watanabe H, Ichikawa M |
2672 - 2675 |
Role of defect diffusion in the InP damage profile Yu DG, Chen CH, Holmes AL, DenBaars SP, Hu EL |
2676 - 2681 |
Interactive effects in reactive ion etching of W1-xGex van der Drift E, Dinh BQ, Verhoeven PA, Fakkeldij EJM, Zuiddam MR, Zijlstra T |
2682 - 2687 |
Deposition of silicon nitride by low-pressure electron cyclotron resonance plasma enhanced chemical vapor deposition in N-2/Ar/SiH4 Moshkalyov SA, Diniz JA, Swart JW, Tatsch PJ, Machida M |
2688 - 2691 |
Protective film by magnetic plasma polymerization on a Y-Ba-Cu-O superconductor Jin XY, Zhang Y, Wang R, Chen KS, Liang SZ |
2692 - 2701 |
Electron and ion optical design software for integrated circuit manufacturing equipment Munro E |
2702 - 2706 |
Compact electrostatic lithography column for nanoscale exposure Chisholm T, Liu HN, Munro E, Rouse J, Zhu XQ |
2707 - 2712 |
Semiconductor on glass photocathodes for high throughput maskless electron beam lithography Baum AW, Schneider JE, Pease RFW, McCord MA, Spicer WE, Costello KA, Aebi VW |
2713 - 2717 |
Micromachined single-crystal silicon electron lenses Hofmann W, MacDonald NC |
2718 - 2724 |
Filter design methodology for defect detection in wafer inspection Socha RJ, Neureuther AR |
2725 - 2728 |
Electrostatic deflection aberrations revisited : Solution proposed to an old problem Retsky M |
2729 - 2731 |
Micro-extraction spectrometer structures for voltage contrast in the scanning electron microscope Dinnis AR |
2732 - 2736 |
Spherical aberration corrector using space charge Chao LC, Orloff J, Wang L |
2737 - 2741 |
Novel objective lens for low voltage electron beam imaging Liu W, McCord M, Pease RF |
2742 - 2748 |
Miniature electron beam column with a silicon micro field emitter Honjo I, Endo Y, Goto S |
2749 - 2753 |
Fabrication of multiple microcolumn array combined with field emission array Park JY, Lera JD, Yakshin MA, Choi SS, Lee Y, Chun KJ, Lee JD, Jeon D, Kuk Y |
2754 - 2759 |
Optimization of field-emission columns for next-generation MEBES (R) systems Pearce-Percy H, Abboud F, Garcia R, Mankos M |
2760 - 2763 |
Fabrication of nanoelectromechanical systems in single crystal silicon using silicon on insulator substrates and electron beam lithography Carr DW, Craighead HG |
2764 - 2767 |
New fabrication techniques for high quality photonic crystals Cheng CC, Scherer A, Tyan RC, Fainman Y, Witzgall G, Yablonovitch E |
2768 - 2772 |
Surface micromachined membranes for tunnel transducers Wong J, Scherer A, George T |
2773 - 2776 |
Fabrication and behavior of nanoscale field emission structures Driskill-Smith AAG, Hasko DG, Ahmed H |
2777 - 2781 |
Field emission from gated Si emitter tips with precise gate tip spacing, gate diameter, tip sharpness, and tip protrusion Rakhshandehroo MR, Pang SW |
2782 - 2785 |
Field emission displays based on linear horizontal field emission cathodes Kuo HP, Burriesci SF, Lin J, Miller DJ |
2786 - 2790 |
Optical manipulation of a lasing microparticle and its application to near-field microspectroscopy Sasaki K, Fujiwara H, Masuhara H |
2791 - 2794 |
Wire-channel and wrap-around-gate metal-oxide-semiconductor field-effect transistors with a significant reduction of short channel effects Leobandung E, Gu J, Guo LJ, Chou SY |
2795 - 2798 |
New complimentary metal-oxide semiconductor technology with self-aligned Schottky source/drain and low-resistance T gates Rishton SA, Ismail K, Chu JO, Chan KK, Lee KY |
2799 - 2805 |
Gate technology for 70 nm metal-oxide-semiconductor field-effect transistors with ultrathin (< 2 nm) oxides Tennant D, Klemens F, Sorsch T, Baumann F, Timp G, Layadi N, Kornblit A, Sapjeta BJ, Rosamilia J, Boone T, Weir B, Silverman P |
2806 - 2808 |
Fabrication of 30 nm gate length electrically variable shallow-junction metal-oxide-semiconductor field-effect transistors using a calixarene resist Sakamoto T, Kawaura H, Baba T, Fujita J, Ochiai Y |
2809 - 2815 |
Lateral tunnel junction produced by electron-beam-induced deposition Komuro M, Hiroshima H |
2816 - 2820 |
Self-aligned subchannel implant complementary metal-oxide semiconductor devices fabrication Wang W, Chang C, Ma D, Peckerar M, Berry I, Goldsman N, Melngailis J |
2821 - 2824 |
Fabrication and transport study of finite lateral superlattices Hannan M, Grundbacher R, Fay P, Adesida I, Giannetta RW, Wagner CJ, Melloch MR |
2825 - 2828 |
Fabrication of planar silicon nanowires on silicon-on-insulator using stress limited oxidation Kedzierski J, Bokor J, Kisielowski C |
2829 - 2831 |
Low voltage electron-beam lithography based InGaAs/GaAs quantum dot arrays with 1 meV luminescence linewidths Wang KH, Pecher A, Hofling E, Forchel A |
2832 - 2835 |
Differential charge detection for quantum-dot cellular automata Amlani I, Orlov AO, Snider GL, Bernstein GH |
2836 - 2839 |
Metal-oxide-semiconductor-compatible silicon based single electron transistor using bonded and etched back silicon on insulator material Koster T, Hadam B, Hofmann K, Gondermann J, Stein J, Hu S, Altmeyer S, Spangenberg B, Kurz H |
2840 - 2843 |
Fabrication and characterization of room temperature silicon single electron memory Guo LJ, Leobandung E, Zhuang L, Chou SY |
2844 - 2847 |
Single-electron transistor as a charge sensor for semiconductor applications Berman D, Zhitenev NB, Ashoori RC, Smith HI, Melloch MR |
2848 - 2854 |
Cell attachment on silicon nanostructures Turner S, Kam L, Isaacson M, Craighead HG, Shain W, Turner J |
2855 - 2858 |
Comparative study of gated single crystal silicon and polysilicon field emitters Huq SE, Grayer GH, Prewett PD |
2859 - 2863 |
Efficient diffractive optics made by single-step electron beam lithography in solid PMMA Cumming DRS, Khandaker II, Thoms S, Casey BG |
2864 - 2867 |
Application of amorphous silicon subwavelength gratings in polarization switching vertical-cavity surface-emitting lasers Chou SY, Schablitsky SJ, Zhuang L |
2868 - 2871 |
Accurate critical dimension control by using an azide/novolak resist process for electron-beam lithography Yamamoto J, Uchino S, Ohta H, Yoshimura T, Murai F |
2872 - 2876 |
Process optimization for production of sub-20 nm soft x-ray zone plates Spector SJ, Jacobsen CJ, Tennant DM |
2877 - 2881 |
Influence of secondary electrons in proximal probe lithography Volkel B, Golzhauser A, Muller HU, David C, Grunze M |
2882 - 2886 |
Ion trap for mass-selective production of nanoclusters Kanayama T, Murakami H |
2887 - 2891 |
Nanochannel fabrication for chemical sensors Stern MB, Geis MW, Curtin JE |
2892 - 2896 |
Nanometer biodevice fabrication by electron beam lithography Di Fabrizio E, Grella L, Baciocchi M, Gentili M, Ascoli C, Cappella B, Frediani C, Morales P |
2897 - 2904 |
Sub-10 nm imprint lithography and applications Chou SY, Krauss PR, Zhang W, Guo LJ, Zhuang L |
2905 - 2911 |
Nanolithography with neutral chromium and helium atoms Brezger B, Schulze T, Drodofsky U, Stuhler J, Nowak S, Pfau T, Mlynek J |
2912 - 2915 |
New approaches to atomic force microscope lithography on silicon Birkelund K, Thomsen EV, Rasmussen JP, Hansen O, Tang PT, Moller P, Grey F |
2916 - 2920 |
"Safe" solvent resist process for sub-quarter micron T-gates Via D, Bozada C, Cerny C, DeSalvo G, Dettmer R, Ebel J, Gillespie J, Jenkins T, Nakano K, Pettiford C, Quach T, Sewell J |
2921 - 2924 |
Influence of electron-beam induced microporosity on masking properties of polymethylmethacrylate in wet etching of nanometer structures Maximov I, Bogdanov AL, Montelius L |
2925 - 2929 |
Fabrication and near-room temperature transport of patterned gold cluster structures Clarke L, Wybourne MN, Yan MD, Cai SX, Brown LO, Hutchison J, Keana JFW |
2930 - 2933 |
Characterization of Si-doped layer in GaAs fabricated by a focused ion beam molecular beam epitaxy combined system Yanagisawa J, Nakayama H, Oka K, Nakai M, Wakaya F, Yuba Y, Takaoka S, Murase K, Gamo K |
2934 - 2939 |
Direct epitaxial growth of thin-film structures Eres G, Hui FYC, Thundat T, Joy DC |
2940 - 2945 |
Super-smooth x-ray reflection grating fabrication Franke AE, Schattenburg ML, Gullikson EM, Cottam J, Kahn SM, Rasmussen A |
2946 - 2949 |
Subwavelength, binary lenses at infrared wavelengths Wendt JR, Vawter GA, Smith RE, Warren ME |