화학공학소재연구정보센터

Journal of Vacuum Science & Technology B

Journal of Vacuum Science & Technology B, Vol.26, No.1 Entire volume, number list
ISSN: 1071-1023 (Print) 

In this Issue (85 articles)

1 - 5 Undercut structure fabricated by complementary-structure micropatterning technique for the passive-matrix display of organic light-emitting diodes
Xing R, Xuan Y, Ma DG, Han YC
6 - 10 Fabrication and performance of nanoscale ultrasmooth programed defects for extreme ultraviolet lithography
Olynick DL, Salmassi F, Liddle JA, Mirkarimi PB, Spiller E, Baker SL, Robinson J
11 - 22 Studies of fluorocarbon film deposition and its correlation with etched trench sidewall angle by employing a gap structure using C4F8/Ar and CF4/H-2 based capacitively coupled plasmas
Ling L, Hua X, Zheng L, Oehrlein GS, Hudson EA, Jiang P
23 - 27 Mobility-diffusivity relationship for semiconductor nanowires
Khan A, Mohammad SN
28 - 31 Double oxidation scheme for tunnel junction fabrication
Holmqvist T, Meschke M, Pekola JP
32 - 35 Turn-on field distribution of field-emitting sites in carbon nanotube film: Study with luminescent image
Liu WH, Zeng FG, Xin L, Zhu CC, He YN
36 - 40 Exposure latitude of deep-ultraviolet conformable contact photolithography
Fucetola CP, Carter DJD, Goodberlet JG
41 - 46 Structural and electrical investigation of laser annealed (Pb,Sr)TiO3 thin films
Wang JL, Lai YS, Liou SC, Chiou BS, Jan CK, Cheng HC
47 - 51 Enhanced local oxidation of silicon using a conducting atomic force microscope in water
Hilton AM, Jacobson KW, Lynch BP, Simpson GJ
52 - 55 Optical properties of n-doped Ga1-xMnxN epitaxial layers grown by metal-organic chemical-vapor deposition in mid and far (5-50 mu m) IR range
Weerasekara AB, Hu ZG, Dietz N, Perera AGU, Asghar A, Kane MH, Strassburg M, Ferguson IT
56 - 61 GaSb based midinfrared equilateral-triangle-resonator semiconductor lasers
Yu SQ, Cao Y, Johnson SR, Zhang YH, Huang Y
62 - 66 Grayscale lithography by a polymer photomask doped with laser dye
Korivi NS, Zhou YX, Jiang L
67 - 71 Improvement of the wiggling profile of spin-on carbon hard mask by H-2 plasma treatment
Tadokoro M, Yonekura K, Yoshikawa K, Ono Y, Ishibashi T, Hanawa T, Fujiwara N, Matsunobe T, Matsuda K
72 - 75 Nonlocal reduced boron diffusivity in silicon below strained Si1-xGex surfaces
Carroll MS, Suh YS, Levy R
76 - 79 Nanostructuring GaN using microsphere lithography
Ng WN, Leung CH, Lai PT, Choi HW
80 - 83 Flare-variation compensation for 32 nm line and space pattern for device manufacturing on extreme-ultraviolet lithography
Aoyama H, Iriki N, Tanaka T
84 - 88 Potential of phase-shifted optical proximity correction for 65 nm T-shaped pattern in high numerical aperture lithography
Gao SB, Li YQ
89 - 95 Atomic diffusion and interface electronic structure at In0.49Ga0.51P/GaAs heterojunctions
Smith PE, Lueck M, Ringel SA, Brillson LJ
96 - 101 Study of pretreatment prior to silicon-oxycarbide deposition on Cu interconnect
Huang CC, Huang JL, Wang YL, Lo KY
L1 - L6 Recent advance in protection technology for extreme ultraviolet lithography masks under low-pressure condition
Kim JH
L7 - L9 Boron nanobelts grown under intensive ion bombardment
Li WT, Boswell R, Gerald JDF
102 - 105 Surface structure characterization of nanodiamond thin film for electronic field emission applications
Xie FY, Xie WG, Chen J, Liu X, Lu DY, Zhang WH
106 - 109 Study of high-brightness flat-panel lighting source using carbon-nanotube cathode
Zhang Y, Deng SZ, Duan CY, Chen J, Xu NS
110 - 116 Effective energy densities in KrF excimer laser reformation as a sidewall smoothing technique
Liang EZ, Hung SC, Hsieh P, Lin CF
117 - 121 Facet formation and lateral overgrowth of selective Ge epitaxy on SiO2-patterned Si(001) substrates
Park JS, Bai J, Curtin M, Carroll M, Lochtefeld A
122 - 127 Fabrication of silicon kinoform lenses for hard x-ray focusing by electron beam lithography and deep reactive ion etching
Stein A, Evans-Lutterodt K, Bozovic N, Taylor A
128 - 131 Photoresist characterization using double exposures with interference lithography
O'Reilly TB, Smith HI
132 - 136 Novel hydrostatic pressuring mechanism for soft UV-imprinting processes
Cheng FS, Yang SY, Chen CC
137 - 140 Analysis of temperature-dependent barrier heights in erbium-silicided Schottky diodes
Jun M, Jang M, Kim Y, Choi C, Kim T, Oh S, Lee S
141 - 150 Effect of temperature on copper damascene chemical mechanical polishing process
Kakireddy VR, Mudhivarthi S, Kumar A
151 - 155 Patterned wafer defect density analysis of step and flash imprint lithography
McMackin I, Martin W, Perez J, Selinidis K, Maltabes J, Xu F, Resnick D, Sreenivasan SV
156 - 158 Soft photocurable nanoimprint lithography for compound semiconductor nanostructures
Meneou K, Cheng KY
159 - 163 Electrical conductivity of ultra-thin silicon nanowires
Rochdi N, Tonneau D, Jandard F, Dallaporta H, Safarov V, Gautier J
164 - 170 Erbium silicide formation and its contact properties on Si(100)
Huang W, Ru GP, Jiang YL, Qu XP, Li BZ, Liu R, Lu F
171 - 174 Luminescence uniformity studies on dendrite bamboo carbon submicron-tube field-emitter arrays
Li X, Ding FQ, Liu WH, He YN, Zhu CC
175 - 180 Structural and electrical studies of conductive nanowires prepared by focused ion beam induced deposition
Reguer A, Bedu F, Tonneau D, Dallaporta H, Prestigiacomo M, Houel A, Sudraud P
181 - 188 Plasma reactor dry cleaning strategy after TiN, TaN and HfO2 etching processes
Ramos R, Cunge G, Joubert O
189 - 194 Hybrid nanofabrication processes utilizing diblock copolymer nanotemplate prepared by self-assembled monolayer based surface neutralization
Kim SJ, Maeng WJ, Lee SK, Park DH, Bang SH, Kim H, Sohn BH
195 - 200 Measurements of local optical properties of Si-doped GaAs (110) surfaces using modulation scanning tunneling microscope cathodoluminescence spectroscopy
Watanabe K, Nakamura Y, Ichikawa M
201 - 205 Inelastic deformability of nanopillar by focused-ion-beam chemical vapor deposition
Shibutani Y, Yoshioka T
206 - 208 Fabrication of single-crystalline LiTaO3 film on silicon substrate using thin film transfer technology
Liu WL, Zhan D, Ma XB
209 - 213 Description of field emission current/voltage characteristics in terms of scaled barrier field values (f-values)
Forbes RG
214 - 218 Low-temperature c-axis oriented growth of nanocrystalline ZnO thin films on Si substrates by plasma assisted pulsed laser deposition
Shao J, Shen YQ, Sun J, Xu N, Yu D, Lu YF, Wu JD
219 - 226 Mechanistic study of plasma damage of low k dielectric surfaces
Bao J, Shi H, Liu J, Huang H, Ho PS, Goodner MD, Moinpour M, Kloster GM
227 - 231 Surface plasmon assisted contact scheme nanoscale photolithography using an UV lamp
Shao DB, Chen SC
232 - 243 Low energy electron-excited nanoscale luminescence spectroscopy studies of intrinsic defects in HfO2 and SiO2-HfO2-SiO2-Si stacks
Strzhemechny YM, Bataiev M, Tumakha SP, Goss SH, Hinkle CL, Fulton CC, Lucovsky G, Brillson LJ
244 - 248 Novel photocurable epoxy siloxane polymers for photolithography and imprint lithography applications
Wang PI, Nalamasu O, Ghoshal R, Schaper CD, Li A, Lu TM
249 - 254 Proximity effects in nanoscale patterning with high resolution electron beam induced deposition
Crozier PA
255 - 259 Effect of bis-(3-sodiumsulfopropyl disulfide) byproducts on copper defects after chemical mechanical polishing
Hung CC, Lee WH, Hu SY, Chang SC, Chen KW, Wang YL
260 - 263 Hot embossing by Joule heating
Lee JC, Leu IC, Lai KL, Hon MH
267 - 272 Junction formation and its device impact through the nodes: From single to coimplants, from beam line to plasma, from single ions to clusters, and from rapid thermal annealing to laser thermal processing
Gossmann HJL
273 - 280 Simulation of doping profile formation: Historical evolution, and present strengths and weaknesses
Zechner C, Moroz V
281 - 285 Ultrashallow junctions formed by C coimplantation with spike plus submelt laser annealing
Felch SB, Collart E, Parihar V, Thirupapuliyur S, Schreutelkamp R, Pawlak BJ, Hoffmann T, Severi S, Eyben P, Vandervorst W, Noda T
286 - 292 Realization of ultrashallow junctions by plasma immersion ion implantation and laser annealing
Vervisch V, Etienne H, Torregrosa F, Roux L, Ottaviani L, Pasquinelli M, Sarnet T, Delaporte P
293 - 297 Optimum activation and diffusion with a combination of spike and flash annealing
Paul S, Lerch W, Chan J, Mccoy S, Gelpey J, Cristiano F, Severac F, Fazzini PF, Bolze D
298 - 304 Characterization of an ultrashallow junction structure using angle resolved x-ray photoelectron spectroscopy and medium energy ion scattering
Saheli G, Conti G, Uritsky Y, Foad MA, Brundle CR, Mack P, Kouzminov D, Werner M, van den Berg JA
305 - 309 Bragg diffraction, synchrotron x-ray reflectance, and x-ray photoelectron spectroscopy studies of low temperature plasma oxidation of native SiO2 on silicon on insulator
Bhargava M, Donner W, Srivastava AK, Wolfe JC
310 - 316 Advances in optical carrier profiling through high-frequency modulated optical reflectance
Bogdanowicz J, Dortu F, Clarysse T, Vandervorst W, Shaughnessy D, Salnik A, Nicolaides L, Opsal J
317 - 321 Advanced carrier depth profiling on Si and Ge with micro four-point probe
Clarysse T, Eyben P, Parmentier B, Van Daele B, Satta A, Vandervorst W, Lin R, Petersen DH, Nielsen PF
322 - 332 Impact of band gap narrowing and surface recombination on photoelectrothermal modulated optical reflectance power curves
Dortu F, Bogdanowicz J, Clarysse T, Vandervorst W
333 - 337 Effect of low Ge content on B diffusion in amorphous SiGe alloys
Edelman LA, Elliman RG, Rubin L, Washington L, Jones KS
338 - 341 Impact of the environmental conditions on the electrical characteristics of scanning spreading resistance microscopy
Eyben P, Mody J, Vemula SC, Vandervorst W
342 - 346 Defect evolution after germanium preamorphization in silicon on insulator structures
Fazzinia PF, Cristiano F, Dupre C, Claverie A, Ernst T, Gavelle M
347 - 350 Interaction of the end of range defect band with the upper buried oxide interface for B and BF2 implants in Si and silicon on insulator with and without preamorphizing implant
Kah M, Smith AJ, Hamilton JJ, Sharp J, Yeong SH, Colombeau B, Gwilliam R, Webb RP, Kirkby KJ
351 - 356 Toward extending the capabilities of scanning spreading resistance microscopy for fin field-effect-transistor-based structures
Mody J, Eyben P, Augendre E, Richard O, Vandervorst W
357 - 361 Level set modeling of the orientation dependence of solid phase epitaxial regrowth
Morarka S, Rudawski NG, Law ME
362 - 367 Comparative study of size dependent four-point probe sheet resistance measurement on laser annealed ultra-shallow junctions
Petersen DH, Lin R, Hansen TM, Rosseel E, Vandervorst W, Markvardsen C, Kjaer D, Nielsen PF
368 - 372 Boron cathodic arc as an ion source for shallow junction ion implantation of boron
Williamsa JM, Klepper CC, Chivers DJ, Hazelton RC, Moschella JJ
373 - 376 Properties of ultralow energy boron implants using octadecaborane
Ameen MS, Rubin LM, Harris MA, Huynh C
377 - 381 Evolution of fluorine and boron profiles during annealing in crystalline Si
Lopeza P, Pelaz L, Duffy R, Meunier-Beillard P, Roozeboom F, van der Tak K, Breimer P, van Berkum JGM, Verheijen MA, Kaiser M
382 - 385 B clustering in amorphous Si
De Salvador D, Bisognin G, Di Marino M, Napolitani E, Carnera A, Mirabella S, Pecora E, Bruno E, Priolo F, Graoui H, Foad MA, Boscherini F
386 - 390 He implantation to control B diffusion in crystalline and preamorphized Si
Bruno E, Mirabella S, Priolo F, Kuitunen K, Tuomisto F, Slotte J, Giannazzo F, Bongiorno C, Raineri V, Napolitani E
391 - 395 Antimony for n-type metal oxide semiconductor ultrashallow junctions in strained Si: A superior dopant to arsenic?
Bennett NS, Smith AJ, Gwilliam RM, Webb RP, Sealy BJ, Cowern NEB, O'Reilly L, McNally PJ
396 - 401 Probing doping conformality in fin shaped field effect transistor structures using resistors
Vandervorst W, Jurczak M, Everaert JL, Pawlak BJ, Duffy R, Del-Agua-Bomiquel JI, Poon T
402 - 407 Doping fin field-effect transistor sidewalls: Impurity dose retention in silicon due to high angle incident ion implants and the impact on device performance
Duffy R, Curatola G, Pawlak BJ, Doornbos G, van der Tak K, Breimer P, van Berkum JGM, Roozeboom F
408 - 414 Application of electron holography to analysis of submicron structures
Gribelyuk MA, Domenicucci AG, Ronsheim PA, McMurray JS, Gluschenkov O
415 - 419 Carrier concentration profiling on oxidized surfaces of Si device cross sections by resonant electron tunneling scanning probe spectroscopy
Bolotov L, Nishizawa M, Kanayama T, Miura Y
420 - 424 Insights in junction photovoltage based sheet resistance measurements for advanced complementary metal-oxide semiconductor
Clarysse T, Moussa A, Zangerle T, Schaus F, Vandervorst W, Faifer V, Current M
425 - 429 Defects in Ge and Si caused by 1 MeV Si+ implantation
Hickey DP, Bryan ZL, Jones KS, Elliman RG, Haller EE
430 - 434 P implantation into preamorphized germanium and subsequent annealing: Solid phase epitaxial regrowth, P diffusion, and activation
Posselt M, Schmidt B, Anwand W, Grotzschel R, Heera V, Mucklich A, Wundisch C, Skorupa W, Hortenbach H, Gennaro S, Bersani M, Giubertoni D, Moller A, Bracht H
435 - 438 Influence of As on the formation of mask-edge defects during stressed solid phase epitaxy in patterned Si wafers
Rudawski NG, Jones KS, Elliman RG
439 - 442 Dissolution of extended defects in strained silicon
Moroz V, Martin-Bragado I, Felch S, Nouri F, Olsen C, Jones KS
L10 - L12 Fabrication of ideally ordered anodic porous alumina with large area by vacuum deposition of Al onto mold
Nishio K, Yanagishita T, Hatakeyama S, Maegawa H, Masuda H
L13 - L18 Flexible polymeric rib waveguide with self-align couplers system
Huang CS, Wang WC
L19 - L22 Reducing imaging defects in high-resolution photolithography
Wang F, Stanton WA