L61 - L63 |
Sputtering of (001) AlN thin films: Control of polarity by a seed layer Milyutin E, Harada S, Martin D, Carlin JF, Grandjean N, Savu V, Vaszquez-Mena O, Brugger J, Muralt P |
1081 - 1085 |
Different growth mechanisms of vertical carbon nanotubes by rf- or dc-plasma enhanced chemical vapor deposition at low temperature Wang HY, Moore JJ |
1086 - 1092 |
Influence of the tip work function on scanning tunneling microscopy and spectroscopy on zinc doped GaAs Wijnheijmer AP, Garleff JK, van der Heijden MA, Koenraad PM |
1093 - 1096 |
Field-emission of TiSi(2) thin film deposited by an in situ chloride-generated route Hu YM, Li Y, Zhu MY, Hu Z, Yu LS |
1097 - 1099 |
Characterization of electrodeposited Ni-Fe-SiC alloys for microelectromechanical applications Zheng XH, Chen X, Gu F, Liu YW, Lee DW |
1100 - 1103 |
UV ozone passivation of the metal/dielectric interface for HfO2-based organic thin film transistors Tang WM, Ng WT, Helander MG, Greiner MT, Lu ZH |
1104 - 1110 |
Surface and near-surface modifications of ultralow dielectric constant materials exposed to plasmas under sidewall-like conditions Kuo MS, Oehrlein GS |
1111 - 1119 |
Growth of size and density controlled GaAs/InxGa1-xAs/GaAs (x=0.10) nanowires on anodic alumina membrane-assisted etching of nanopatterned GaAs Gunawan AA, Jha S, Kuech TF |
1120 - 1124 |
Complex dielectric function and refractive index spectra of epitaxial CdO thin film grown on r-plane sapphire from 0.74 to 6.45 eV Choi SG, Zuniga-Perez J, Munoz-Sanjose V, Norman AG, Perkins CL, Levi DH |
1125 - 1131 |
Formation of three-dimensional and nanowall structures on silicon using a hydrogen-assisted high aspect ratio etching Azimi S, Mehran M, Amini A, Vali A, Mohajerzadeh S, Fathipour M |
1132 - 1137 |
Statistical-noise effect on discrete power spectrum of line-edge and line-width roughness Hiraiwa A, Nishida A |
1138 - 1142 |
Defect-free etching process for GaAs/AlGaAs hetero-nanostructure using chlorine/argon mixed neutral beam Wang XY, Huang CH, Ohno Y, Igarashi M, Murayama A, Samukawa S |
1143 - 1147 |
Effect of Al doping on resistive switching behavior of NiOx films for nonvolatile memory application Kim J, Na H, Oh J, Ko DH, Sohn H |
1148 - 1152 |
Mechanical and electronic characteristics of scanning probe microscopy probes based on coaxial palladium nanowire/carbon nanotube hybrid structures Clark IT, Rius G, Matsuoka Y, Yoshimura M |
1153 - 1157 |
CO(2) laser treatment for stabilization of the superhydrophobicity of carbon nanotube surfaces Ramos SC, Vasconcelos G, Antunes EF, Lobo AO, Trava-Airoldi VJ, Corat EJ |
1158 - 1163 |
Dose loss of phosphorus due to interface segregation in silicon-on-insulator substrates Chang RD, Ma CC, Tsai JR |
1164 - 1168 |
Influence of surface treatment and interface layers on electrical spin injection efficiency and transport in InAs Zhu L, Yua ET |
1169 - 1172 |
Electron detection performance of diamond avalanche diode Morishita H, Ohshima T, Hatano M, Iwakaji Y, Maida O, Ito T |
1173 - 1178 |
Thin film transistors with a ZnO channel and gate dielectric layers of HfO2 by atomic layer deposition Grundbacher R, Chikkadi K, Hierold C |
1179 - 1186 |
Silicon nitride hardmask fabrication using a cyclic CHF3-based reactive ion etching process for vertical profile nanostructures Kaspar P, Jeyaram Y, Jackel H, Foelske A, Kotz R, Bellini S |
1187 - 1194 |
Hydrogen etching and cutting of multiwall carbon nanotubes Behr MJ, Gaulding EA, Mkhoyan KA, Aydil ES |
1195 - 1201 |
Controlled sacrificial sidewall surface micromachining for the release of high length-to-thickness aspect ratio bridges Raum CR, Tait RN, Gauthier R |
1202 - 1205 |
Field emission stability and properties of simultaneously grown microcrystalline diamond and carbon nanostructure films Uppireddi K, Weiner BR, Morell G |
1206 - 1209 |
Electron beam induced etching of silicon with SF(6) Vanhove N, Lievens P, Vandervorst W |
1210 - 1214 |
Simultaneous observation of surface topography and elasticity at atomic scale by multifrequency frequency modulation atomic force microscopy Naitoh Y, Ma ZM, Li YJ, Kageshima M, Sugawara Y |
1215 - 1221 |
Nonlinearities in depth profiling nanometer layers Seah MP, Mulcahy CPA, Biswas S |
1222 - 1230 |
Challenges in the fabrication of an optical frequency ground plane cloak consisting of silicon nanorod arrays Blair J, Brown D, Tamma VA, Park W, Summers C |
1231 - 1234 |
Ni full-filling into Al(2)O(3)/Al film with etched tunnels using a polyethylene glycol solution bath in electroless-plating Jang JH, Lee CH, Choi WS, Kim NJ, Kim TY, Kim TY, Kim JH, Park C, Suh SJ |
1235 - 1238 |
Antimonide-based depletion-mode metal-oxide-semiconductor field-effect transistors using small-bandgap InAs channel layers Lin HK, Liau GY, Liu HK |
1239 - 1241 |
Impact of exposure doses on demolding process in UV nanoimprint lithography Tanabe T, Fujii N, Matsue M, Kawata H, Hirai Y |
1242 - 1250 |
Statistical-noise effect on autocorrelation function of line-edge and line-width roughness Hiraiwa A, Nishida A |
1251 - 1258 |
Thin polymer films viscosity measurements from nanopatterning method Leveder T, Landis S, Chaix N, Davoust L |
1259 - 1266 |
Effect of resist on the transfer of line-edge roughness spatial metrics from mask to wafer Naulleau PP, Gallatin GM |
1267 - 1270 |
Performance and reliability analysis of p-type metal-oxide-semiconductor field effect transistors with various combinations of Ru and Al gate metal Park HB, Park CS, Kang CY, Song SC, Lee BH, Kim TW, Jang TY, Kim DH, Jeong JK, Choi R |
1271 - 1273 |
Structural and optical properties of self-assembled InAs quantum dot molecules on GaAs substrates Tian P, Huang LR, Yu Y, Huang DX |
1274 - 1278 |
Fabrication and field emission of carbon nanotubes/TiO(2)/Ti composite nanostructures Chen JB, Wang CW, Guo RS, Wang LQ, Zhu WD, Zhou F, Liu WM |
1279 - 1283 |
Atomic resolution force microscopy imaging on a strongly ionic surface with differently functionalized tips Arai T, Gritschneder S, Troger L, Reichling M |
1284 - 1286 |
Electron field emission from well-aligned GaP nanotips Lo HC, Tsai JTH, Leu JP, Chen CF |
1287 - 1297 |
Sputtering behavior and evolution of depth resolution upon low energy ion irradiation of GaAs Hopstaken MJP, Gordon MS, Pfeiffer D, Sadana DK, Topuria T, Rice PM, Gerl C, Richter M, Marchiori C |
1298 - 1303 |
Relaxation of misfit strain in silicon-germanium, Si(1-x)Ge(x). films during dry oxidation Yoo JH, Kim SW, Min BG, Sohn H, Ko DH, Cho MH |
1304 - 1313 |
Quantifying reaction spread and x-ray exposure sensitivity in hydrogen silsesquioxane latent resist patterns with x-ray spectromicroscopy Caster AG, Kowarik S, Schwartzberg AM, Leone SR, Tivanski A, Gilles MK |
1314 - 1317 |
Reduction of spin-flip scattering in metallic nonlocal spin valves Zou H, Wang XJ, Ji Y |
1318 - 1321 |
Single-walled carbon nanotube alignment by grating-guided electrostatic self-assembly Li HF, Sun D, Sue HJ, Cheng X |
1322 - 1325 |
Moisture effect on electromigration characteristics for copper dual damascene interconnection Cheng YL, Chang WY, Wang YL |
1326 - 1329 |
Simple derivation of the formula for Sommerfeld supply density used in electron-emission physics and limitations on its use Forbes RG |
C6A1 - C6A1 |
PREFACE Feldman M |
C6B1 - C6B6 |
Argon ion multibeam nanopatterning of Ni-Cu inserts for injection molding Koeck A, Bruck R, Wellenzohn M, Hainberger R, Platzgummer E, Loeschner H, Joechl P, Eder-Kapl S, Ebm C, Czepl P, Kaiblinger K, Pipelka F, Letzkus F, Irmscher M, Heitkamp B |
C6B7 - C6B12 |
Directed assembly of solution processed single-walled carbon nanotubes via dielectrophoresis: From aligned array to individual nanotube devices Stokes P, Khondaker SI |
C6C1 - C6C5 |
High-current electron optical design for reflective electron beam lithography direct write lithography McCord M, Kojima S, Petric P, Brodie A, Sun J |
C6C6 - C6C13 |
Reflective electron beam lithography: A maskless ebeam direct write lithography approach using the reflective electron beam lithography concept Petric P, Bevis C, McCord M, Carroll A, Brodie A, Ummethala U, Grella L, Cheung A, Freed R |
C6D1 - C6D4 |
Direct transformation of a resist pattern into a graphene field effect transistor through interfacial graphitization of liquid gallium Fujita J, Miyazawa Y, Ueki R, Sasaki M, Saito T |
C6D5 - C6D10 |
Fabrication of metal patterns on freestanding graphenoid nanomembranes Beyer A, Turchanin A, Nottbohm CT, Mellech N, Schnietz M, Golzhauser A |
C6E1 - C6E10 |
Wavelength-specific reflections: A decade of extreme ultraviolet actinic mask inspection research Goldberg KA, Mochi I |
C6F1 - C6F5 |
Focused chromium ion beam Steele AV, Knuffman B, McClelland JJ, Orloff J |
C6F6 - C6F9 |
Analysis of subsurface beam spread and its impact on the image resolution of the helium ion microscope Sijbrandij S, Notte J, Sanford C, Hill R |
C6G1 - C6G4 |
Blanking characteristics of a miniature electron beam column Silver CS, Spallas JP, Muray LP |
C6G5 - C6G10 |
Multibeam scanning electron microscope: Experimental results Mohammadi-Gheidari A, Hagen CW, Kruit P |
C6H1 - C6H5 |
Application of analytic scanning electron microscopy to critical dimensions metrology at nanometer scale Babin S, Bay K, Hwu JJ |
C6H6 - C6H10 |
Linewidth metrology for sub-10-nm lithography Thoms S, Macintyre DS |
C6I1 - C6I6 |
Three-dimensional microfluidic mixers using ion beam lithography and micromachining Palacios E, Ocola LE, Joshi-Imre A, Bauerdick S, Berse M, Peto L |
C6I7 - C6I10 |
Fabricating millimeter to nanometer sized cavities concurrently for nanofluidic devices Devlin NR, Brown DK |
C6J1 - C6J7 |
Mask-topography-induced phase effects and wave aberrations in optical and extreme ultraviolet lithography Erdmann A, Shao F, Evanschitzky P, Fuhner T |
C6J8 - C6J12 |
High accuracy electron beam model development in MICHELLE: eBEAM Ovtchinnikov SG, Cooke SJ, Shtokhamer R, Vlasov AN, Mkrtchyan MM, Kostas C, Petillo JJ, Levush B |
C6K1 - C6K7 |
Fabrication of three-dimensional structures for the assessment of cell mechanical interactions within cell monolayers Fuard D, Moussus M, Tomba C, Peyrade D, Nicolas A |
C6K8 - C6K12 |
Texturing of silicon using a microporous polymer etch mask Korivi NS, Hoffpauir J, Ajmera PK |
C6L1 - C6L5 |
Low damage fully self-aligned replacement gate process for fabricating deep sub-100 nm gate length GaAs metal-oxide-semiconductor field-effect transistors Li X, Bentley S, McLelland H, Holland MC, Zhou H, Thoms S, Macintyre DS, Thayne IG |
C6L6 - C6L8 |
Platinum single-electron transistors with tunnel barriers made by atomic layer deposition George HC, Orlov AO, Snider GL |
C6L9 - C6L13 |
Si single electron transistor fabricated by chemical mechanical polishing Lee YC, Joshi V, Orlov AO, Snider GL |
C6M1 - C6M6 |
Aspects of hybrid pattern definition while combining thermal nanoimprint with optical lithography Scheer HC, Mollenbeck S, Mayer A, Dhima K |
C6M7 - C6M11 |
Defect analysis for patterned media Ye ZM, Fretwell J, Luo K, Ha S, Schmid G, LaBrake D, Resnick DJ, Sreenivasan SV |
C6N1 - C6N6 |
Microelectromechanical systems for biomimetical applications Latif R, Mastropaolo E, Bunting A, Cheung R, Koickal T, Hamilton A, Newton M, Smith L |
C6N7 - C6N11 |
Microfabricated resistive high-sensitivity nanoprobe for scanning thermal microscopy Wielgoszewski G, Sulecki P, Gotszalk T, Janus P, Szmigiel D, Grabiec P, Zschech E |
C6O1 - C6O7 |
On the fabrication of three-dimensional silicon-on-insulator based optical phased array for agile and large angle laser beam steering systems Hosseini A, Kwong D, Zhang Y, Chandorkar SA, Crnogorac F, Carlson A, Fallah B, Bank S, Tutuc E, Rogers J, Pease RFW, Chen RT |
C6O8 - C6O10 |
Tunable optofluidic nano-Bragg microcavity filter Jugessur AS, Dou J, Aitchison JS |
C6P1 - C6P5 |
Batch wafer scale fabrication of passivated carbon nanotube transistors for electrochemical sensing applications Martin-Fernandez I, Borrise X, Lora-Tamayo E, Godignon P, Perez-Murano F |
C6P6 - C6P10 |
Copper-plated 50 nm T-gate fabrication Oxland RK, Li X, Ferguson S, Bentley S, Thayne IG |
C6Q1 - C6Q5 |
Optical and computed evaluation of keyhole diffractive imaging for lensless x-ray microscopy Dai B, Zhu DL, Jaroensri R, Kulalert K, Pianetta P, Pease RFW |
C6Q6 - C6Q11 |
Customized illumination for process window optimization and yield improvement in mask aligner lithography systems Hornung M, Vogler U, Voelkel R |
C6S1 - C6S5 |
Impact of development chemistry on extreme ultraviolet resist performance Gronheid R |
C6S6 - C6S11 |
Comparison of positive tone versus negative tone resist pattern collapse bahavior* Yeh WM, Noga DE, Lawson RA, Tolbert LM, Henderson CL |
C6B13 - C6B19 |
Mechanism and dynamics of block copolymer directed assembly with density multiplication on chemically patterned surfaces Liu GL, Delcambre SP, Stuen KO, Craig GSW, De Pablo JJ, Nealey PF, Nygard K, Satapathy DK, Bunk O, Solak HH |
C6B20 - C6B23 |
Nanostructure fabrication by self-assembly of block copolymers on three-dimensional diamondlike carbon structures Mino H, Kometani R, Warisawa S, Ishihara S |
C6B24 - C6B29 |
Shape control and density multiplication of cylinder-forming ternary block copolymer-homopolymer blend thin films on chemical patterns Kang HM, Detcheverry F, Stuen KO, Craig GSW, de Pablo JJ, Gopalan P, Nealey PF |
C6B30 - C6B34 |
Integration of block copolymer directed assembly with 193 immersion lithography Liu CC, Nealey PF, Raub AK, Hakeem PJ, Brueck SRJ, Han E, Gopalan P |
C6C14 - C6C20 |
5 kV multielectron beam lithography: MAPPER tool and resist process characterization Rio D, Constancias C, Martin M, Icard B, van Nieuwstadt J, Vijverberg J, Pain L |
C6C21 - C6C25 |
Excitation and imaging of resonant optical modes of Au triangular nanoantennas using cathodoluminescence spectroscopy Kumar A, Fung KH, Mabon JC, Chow E, Fang NX |
C6C26 - C6C33 |
Field induced shape and work function modification for the ZrO/W(100) Schottky cathode Liu K, Schwind GA, Swanson LW, Campbell JA |
C6C34 - C6C40 |
Performance characterization of negative resists for sub-10-nm electron beam lithography Bonam R, Verhagen P, Munder A, Hartley J |
C6C41 - C6C47 |
Simulation of scanning electron microscope images taking into account local and global electromagnetic fields Babin S, Borisov SS, Ito H, Ivanchikov A, Suzuki M |
C6C48 - C6C57 |
Simulation of electron beam lithography of nanostructures Stepanova M, Fito T, Szabo Z, Alti K, Adeyenuwo AP, Koshelev K, Aktary M, Dew SK |
C6C58 - C6C62 |
Sub-10-nm half-pitch electron-beam lithography by using poly(methyl methacrylate) as a negative resist Duan HG, Winston D, Yang JKW, Cord BM, Manfrinato VR, Berggren KK |
C6C63 - C6C68 |
Analysis of surface electromagnetic wave resonant structures for potential application in an array of compact photoelectron sources Choi HJ, Groves TR |
C6C69 - C6C73 |
Inspection of open defects in a thin film transistor-liquid crystal display panel by using a low-energy electron microcolumn Oh TS, Kim DW, Kim YC, Ahn S, Lee GH, Kim HS |
C6C74 - C6C79 |
Brightness limitations of cold field emitters caused by Coulomb interactions Cook B, Verduin T, Hagen CW, Kruit P |
C6D11 - C6D14 |
Study of transport properties in graphene monolayer flakes on SiO2 substrates Tirado JM, Nezich D, Zhao X, Chung JW, Kong J, Palacios T |
C6E11 - C6E16 |
Actinic imaging and evaluation of phase structures on extreme ultraviolet lithography masks Mochi I, Goldberg KA, Huh S |
C6E17 - C6E22 |
Assessing the mask clamping ability of a low thermal expansion material chuck Zeuske JR, Vukkadala P, Engelstad RL, Mikkelson AR, Kalkowski G, Risse S, Mueller S |
C6E23 - C6E30 |
Extreme ultraviolet mask substrate surface roughness effects on lithographic patterning George SA, Naulleau PP, Mochi I, Salmassi F, Gullikson EM, Goldberg KA, Anderson EH |
C6E31 - C6E35 |
Extreme ultraviolet mask surface cleaning effects on lithography process performance George SA, Baclea-an LM, Naulleau PP, Chen RJ, Liang T |
C6E36 - C6E41 |
High transmission pellicles for extreme ultraviolet lithography reticle protection Shroff YA, Leeson M, Yan PY, Gullikson E, Salmassi F |
C6F10 - C6F14 |
Design of a parallel mass spectrometer for focused ion beam columns Khursheed A, Cheong KH, Hoang HQ |
C6F15 - C6F21 |
Gas field ion source and liquid metal ion source charged particle material interaction study for semiconductor nanomachining applications Tan SD, Livengood R, Shima D, Notte J, McVey S |
C6F22 - C6F25 |
Model for nanopillar growth by focused helium ion-beam-induced deposition Alkemade PFA, Chen P, van Veldhoven E, Maas D |
C6F26 - C6F30 |
Ga+ beam lithography for suspended lateral beams and nanowires Henry MD, Shearn M, Scherer A |
C6F31 - C6F37 |
Transmission electron microscopy study of damage layer formed through ion beam induced deposition of platinum on silicon substrate Park BC, Park YC, Lee HJ, Kim YH |
C6F38 - C6F41 |
Piezoresistive effect in the three-dimensional diamondlike carbon nanostructure fabricated by focused-ion-beam chemical vapor deposition Kometani R, Yusa K, Warisawa S, Ishihara S |
C6H11 - C6H17 |
Metrology for electron-beam lithography and resist contrast at the sub-10 nm scale Duan HG, Manfrinato VR, Yang JKW, Winston D, Cord BM, Berggren KK |
C6H18 - C6H33 |
Robust estimation of line width roughness parameters Patel K, Lahiri SN, Spanos CJ |
C6H34 - C6H39 |
Understanding the relationship between true and measured resist feature critical dimension and line edge roughness using a detailed scanning electron microscopy simulator Lawson RA, Henderson CL |
C6I11 - C6I13 |
Nanofluidic channels fabricated by e-beam lithography and polymer reflow sealing Fouad M, Yavuz M, Cui B |
C6I14 - C6I19 |
Rotation speed control of Janus particles by dielectrophoresis in a microfluidic channel Honegger T, Lecarme O, Berton K, Peyrade D |
C6J13 - C6J18 |
Monte Carlo modeling of electron backscattering from carbon nanotube forests Alam MK, Yaghoobi P, Nojeh A |
C6J19 - C6J24 |
Reducing the pattern redundancy in optical proximity correction modeling by analyzing the pattern linearity Li JL, Zhang L, Yan QL, Melvin LS, Lin C, Su E, Tang N |
C6K13 - C6K16 |
Gelatin/glycerol coating to preserve mechanically compliant nanowire electrodes from damage during brain implantation Witteveen JA, Suyatin DB, Gallentoft L, Schouenborg J, Danielsen N, Prinz CN |
C6K17 - C6K22 |
Microfluidics-assisted photo nanoimprint lithography for the formation of cellular bioimprints Nock V, Murray L, Samsuri F, Alkaisi MM, Evans JJ |
C6M12 - C6M16 |
45 nm hp line/space patterning into a thin spin coat film by UV nanoimprint based on condensation Hiroshima H, Wang Q, Youn SW |
C6M17 - C6M22 |
Evaluation of oxygen inhibition for UV-curable resins by adhesion force measurement using scanning probe microscope Okada M, Iwasa M, Miyake H, Ohsaki T, Haruyama Y, Kanda K, Matsui S |
C6M23 - C6M27 |
Assessment of release properties in UV nanoimprint lithography using high-aspect-ratio nanoscale molds Takahashi J, Taniguchi J, Kamiya Y |
C6M28 - C6M31 |
Characterizations of nanoembossed Pb(Zr-0.3, Ti-0.7)O-3 ferroelectric films Shen ZK, Chen ZH, Lu QA, Jiang AQ, Qiu ZJ, Qu XP, Chen YF, Liu R |
C6M32 - C6M36 |
Electrical properties of transferred metal nanopattern using metal oxide release layer Unno N, Taniguchi J, Ide S |
C6M37 - C6M40 |
Fabrication of mesas with micro- and nanopatterned surface relief used as working stamps for step and stamp imprint lithography Schleunitz A, Spreu C, Haatainen T, Klukowska A, Schift H |
C6M41 - C6M44 |
Fabrication of ordered nanospheres using a combination of nanoimprint lithography and controlled dewetting Schleunitz A, Spreu C, Lee J, Schift H |
C6M45 - C6M49 |
Fabrication of seamless three-dimensional roll mold using direct electron-beam writing on rotating cylindrical substrate Taniguchi J, Tsuji S, Aratani M |
C6M50 - C6M56 |
Facile wide-scale defect detection of UV-nanoimprinted resist patterns by fluorescent microscopy Kobayashi K, Kubo S, Matsui S, Nakagawa M |
C6M57 - C6M62 |
High accuracy UV-nanoimprint lithography step-and-repeat master stamp fabrication for wafer level camera application Kreindl G, Glinsner T, Miller R, Treiblmayr D, Fodisch R |
C6M63 - C6M67 |
Hole mobility enhancement by chain alignment in nanoimprinted poly(3-hexylthiophene) nanogratings for organic electronics Zhou M, Aryal M, Mielczarek K, Zakhidov A, Hu W |
C6M68 - C6M71 |
Impact of molecular size on resist filling process in nanoimprint lithography: Molecular dynamics study Taga A, Yasuda M, Kawata H, Hirai Y |
C6M72 - C6M76 |
Impact of the resist properties on the antisticking layer degradation in UV nanoimprint lithography Francone A, Iojoiu C, Poulain C, Lombard C, Pepin-Donat B, Boussey J, Zelsmann M |
C6M77 - C6M82 |
Impact of substrate deformation on demolding force for thermal imprint process Kawata H, Watanabe Y, Fujikawa N, Yasuda M, Hirai Y |
C6M83 - C6M87 |
Imprinted quarter wave plate at terahertz frequency Saha SC, Ma Y, Grant JP, Khalid A, Cumming DRS |
C6M88 - C6M92 |
Long-range ordered aluminum oxide nanotubes by nanoimprint-assisted aluminum film surface engineering Noh K, Choi C, Kim JY, Oh Y, Brammer KS, Loya MC, Jin SH |
C6M93 - C6M97 |
Nanofabrication of surface-enhanced Raman scattering device by an integrated block-copolymer and nanoimprint lithography method Yang EL, Liu CC, Yang CYP, Steinhaus CA, Nealey PF, Skinner JL |
C6M98 - C6M103 |
Nanoimprinting for diffractive light trapping in solar cells Weiss DN, Yuan HC, Lee BG, Branz HM, Meyers ST, Grenville A, Keszler DA |
C6N12 - C6N17 |
Micromachined scanning proximal probes with integrated piezoresistive readout and bimetal actuator for high eigenmode operation Woszczyna M, Zawierucha P, Paletko P, Zielony M, Gotszalk T, Sarov Y, Ivanov T, Frank A, Zollner JP, Rangelow IW |
C6N18 - C6N23 |
Piezoelectrically driven silicon carbide resonators Mastropaolo E, Gual I, Wood G, Bunting A, Cheung R |
C6O11 - C6O15 |
Colloidal optical waveguides with integrated local light sources built by capillary force assembly Lecarme O, Rivera TP, Arbez L, Honegger T, Berton K, Peyrade D |
C6O16 - C6O20 |
Resonant coupling to a dipole absorber inside a metamaterial: Anticrossing of the negative index response Smolev S, Ku ZY, Brueck SRJ, Brener I, Sinclair MB, Ten Eyck GA, Langston WL, Basilio LI |
C6O21 - C6O25 |
Fabrication and characterization of coupled metal-dielectric-metal nanoantennas Joshi B, Wen XJ, Sun K, Lu W, Wei QH |
C6O26 - C6O29 |
Fabrication of nanostar arrays by nanoimprint lithography Veres T, Cui B, Clime L |
C6O30 - C6O33 |
Fabrication techniques for three-dimensional metamaterials in the midinfrared Wendt JR, Burckel DB, Ten Eyck GA, Ellis AR, Brener I, Sinclair MB |
C6O34 - C6O37 |
Self-aligned gold nanocone probe tips Zeeb B, Jager S, Schafer C, Nill P, Meixner AJ, Kern DP, Fleischer M |
C6O38 - C6O44 |
Large area three-dimensional photonic crystals with embedded waveguides Raub AK, Brueck SRJ |
C6O45 - C6O49 |
Sub-10 nm patterning of gold nanostructures on silicon-nitride membranes for plasmon mapping with electron energy-loss spectroscopy Koh AL, McComb DW, Maier SA, Low HY, Yang JKW |
C6O50 - C6O55 |
Geometry enhanced asymmetric rectifying tunneling diodes Choi K, Ryu G, Yesilkoy F, Chryssis A, Goldsman N, Dagenais M, Peckerar M |
C6O56 - C6O59 |
Waveguide-plasmon resonances in gold-capped silicon-nitride disk photonic crystal slabs Shyu JH, Lee HM, Chien JH, Wu JC |
C6O60 - C6O63 |
Multilayer pattern transfer for plasmonic color filter applications Kaplan AF, Xu T, Wu YK, Guo LJ |
C6P11 - C6P13 |
Direct synthesis of vertical alpha-Fe2O3 nanowires from sputtered Fe thin film Nagato K, Furubayashi M, Hamaguchi T, Nakao M |
C6P14 - C6P17 |
Pyrolysis of two-dimensional and three-dimensional interferometrically patterned resist structures Burckel DB, Washburn CM, Koleske DD, Polsky R |
C6P18 - C6P23 |
Fabrication and initial characterization of ultrahigh aspect ratio vias in gold using the helium ion microscope Scipioni L, Ferranti DC, Smentkowski VS, Potyrailo RA |
C6P24 - C6P29 |
Fabrication of poly(ethylene glycol) hydrogel structures for pharmaceutical applications using electron beam and optical lithography Bae M, Gemeinhart RA, Divan R, Suthar KJ, Mancini DC |
C6P30 - C6P35 |
Nanofabrication of x-ray zone plates using ultrananocrystalline diamond molds and electroforming Wojcik MJ, Joshi V, Sumant AV, Divan R, Ocola LE, Lu M, Mancini DC |
C6P36 - C6P41 |
Nanomachining and clamping point optimization of silicon carbon nitride resonators using low voltage electron beam lithography and cold development Mohammad MA, Guthy C, Evoy S, Dew SK, Stepanova M |
C6P42 - C6P47 |
Nanoporous ultrananocrystalline diamond membranes Makarova O, Divan R, Moldovan N, Rosenmann D, Tang CM |
C6P48 - C6P52 |
Nanostructured silicon membranes for control of molecular transport Srijanto BR, Retterer ST, Fowlkes JD, Doktycz MJ |
C6P53 - C6P58 |
Semiconductor crystal islands for three-dimensional integration Crnogorac F, Wong S, Pease RFW |
C6P59 - C6P65 |
Structure and properties of polymer core-shell systems: Helium ion microscopy and electrical conductivity studies Bliznyuk V, Pud A, Scipioni L, Huynh C, Ogurtsov N, Ferranti D |
C6P66 - C6P69 |
Noise analysis of carbon nanotube field effect transistors irradiated by electron beam Chan J, Kidd D, Burke B, Harriott L, Williams K |
C6P70 - C6P75 |
Plasma etch fabrication of 60:1 aspect ratio silicon nanogratings with 200 nm pitch Mukherjee P, Bruccoleri A, Heilmann RK, Schattenburg ML, Kaplan AF, Guo LJ |
C6Q12 - C6Q19 |
Projection lithography below lambda/7 through deep-ultraviolet evanescent optical imaging Xie P, Smith BW |
C6Q20 - C6Q24 |
Development of a simple, compact, low-cost interference lithography system Korre H, Fucetola CP, Johnson JA, Berggren KK |
C6Q25 - C6Q27 |
Nondestructive detection of deviation in integrated circuits Baghaei L, Dai B, Pianetta P, Pease RFW |
C6S12 - C6S18 |
High sensitivity nonchemically amplified molecular resists based on photosensitive dissolution inhibitors Lawson RA, Tolbert LM, Henderson CL |
C6S19 - C6S22 |
Photopatternable inorganic hardmask Telecky A, Xie P, Stowers J, Grenville A, Smith B, Keszler DA |
C6S23 - C6S27 |
Effects of salty-developer temperature on electron-beam-exposed hydrogen silsesquioxane resist for ultradense pattern transfer Yan M, Lee J, Ofuonye B, Choi S, Jang JH, Adesida I |
C6M104 - C6M107 |
Nanoimprinted P3HT/C-60 solar cells optimized by oblique deposition of C-60 Yang Y, Aryal M, Mielczarek K, Hu W, Zakhidov A |
C6M108 - C6M113 |
Process-simulation system for UV-nanoimprint lithography Shibata M, Horiba A, Nagaoka Y, Kawata H, Yasuda M, Hirai Y |
C6M114 - C6M121 |
Rapid patterning of spin-on-glass using ultrasonic nanoimprint Mekaru H, Takahashi M |
C6M122 - C6M124 |
Rapid thermal imprinting of high-aspect-ratio nanostructures with dynamic heating of mold surface Nagato K, Hattori S, Hamaguchi T, Nakao M |
C6M125 - C6M129 |
Residual layer uniformity using complementary patterns to compensate for pattern density variation in UV nanoimprint lithography Wang Q, Hiroshima H, Atobe H, Youn SW |
C6M130 - C6M135 |
Spectroscopic ellipsometry optical critical dimension measurements of templates and imprinted resist for patterned magnetic media applications Yu ZN, Hwu J, Liu YD, Su ZP, Yang H, Wang HY, Hu W, Xu YA, Kurataka N, Hsu YZ, Lee SF, Gauzner G |
C6M136 - C6M139 |
Sputtering with an etch-free lift-off in thermal nanoimprint lithography Mayer A, Bogdanski N, Mollenbeck S, Dhima K, Papenheim M, Scheer HC |