화학공학소재연구정보센터

Journal of Vacuum Science & Technology B

Journal of Vacuum Science & Technology B, Vol.25, No.6 Entire volume, number list
ISSN: 1071-1023 (Print) 

In this Issue (180 articles)

1743 - 1761 Extreme ultraviolet lithography: A review
Wua BQ, Kumar A
1762 - 1770 Effect of process parameters on via formation in Si using deep reactive ion etching
Abhulimen IU, Polamreddy S, Burkett S, Cai L, Schaper L
1771 - 1775 Fabrication of a Fresnel zone plate through electron beam lithographic process and its application to measuring of critical dimension scanning electron microscope performance
Kim J, Jalhadi K, Lee SY, Joy DC
1776 - 1784 Defects in HgTe grown by molecular beam epitaxy on (211)B-oriented CdZnTe substrates
Selvig E, Tonheim CR, Kongshaug KO, Skauli T, Lorentzen T, Haakenaasen R
1785 - 1788 Enhanced field emission from carbon nanotubes with a thin layer of low work function barium strontium oxide surface coating
Jin F, Liu Y, Day CM, Little SA
1789 - 1793 Development of chemical-mechanical polished high-resolution zone plates
Rehbein S, Guttmann P, Werner S, Schneider G
1794 - 1798 Electrical properties and deep traps in ZnO films grown by molecular beam epitaxy
Polyakov AY, Smirnov NB, Belogorokhov AI, Govorkov AV, Kozhukhova EA, Osinsky AV, Xie JQ, Hertog B, Pearton SJ
1799 - 1803 Proposed single layer composite film used as high transmission phase shifting masks for the 32, 45, and 65 nm technology nodes
Lai FD, Huang JL
1804 - 1807 Critical parameter determination of sonic flow controller diamond microtubes and micronozzles
Mammana SS, Degasperia FT, Salvadori MC, Sparapani DC, Laino MF, Rangel RC, Teixeira FS, Cattani M
1808 - 1813 On-wafer monitoring of charge accumulation and sidewall conductivity in high-aspect-ratio contact holes during SiO2 etching process
Jinnai B, Orita T, Konishi M, Hashimoto J, Ichihashi Y, Nishitani A, Kadomura S, Ohtake H, Samukawa S
1814 - 1818 Influence of RuO2 nanoparticles on electron emission from carbon nanotubes
Liua HR, Noguchi T, Kato S
1819 - 1822 Yield improvement of 0.13 mu m Cu/low-k dual-damascene interconnection by organic cleaning process
Kim NH, Kim SY, Lee HK, Lee KY, Kim CI, Chang EG
1823 - 1826 Growth of ZnSe nanowires by pulsed-laser deposition
Zhang TW, Shen YQ, Hu W, Sun J, Wu J, Ying ZF, Xu N
1827 - 1831 Fabrication of periodic microstructures on flexible polyimide membranes
Shelton DJ, Tharp JS, Zummo G, Folks WR, Boreman GD
1832 - 1835 Evolution of surface morphology of GaN thin films during photoelectrochemical etching
Leach JH, Ozgur U, Morkoc H
1836 - 1841 C-doped semi-insulating GaNHFETs on sapphire substrates with a high breakdown voltage and low specific on-resistance
Choi YC, Shi J, Pophristic M, Spencer MG, Eastman LF
1842 - 1846 Large-scale growth of single-walled carbon nanotubes using cold-wall chemical vapor deposition
Shin KY, Lee CT, Kao JS, Kei CC, Chang CM, Hsiao CN, Liang JH, Leou KC, Tsai CH
1847 - 1852 Formation of single crystal sulfur supersaturated silicon based junctions by pulsed laser melting
Tabbal M, Kim T, Warrender JM, Aziz MJ, Cardozo BL, Goldman RS
1853 - 1858 Effects and mechanisms of nitrogen incorporation into hafnium oxide by plasma immersion implantation
Wong H, Sen B, Yang BL, Huang AP, Chu PK
1859 - 1866 Characterization of ruthenium thin films as capping layer for extreme ultraviolet lithography mask blanks
Yan PY, Spiller E, Mirkarimi P
1867 - 1869 Zero-dimensional analysis for discharge characteristics
Park W
1870 - 1874 Highly selective zero-bias plasma etching of GaN over AlGaN
Schuette ML, Lu W
1875 - 1881 Structural and optical characterization of WO3 nanorods/films prepared by oblique angle deposition
Smith W, Zhang ZY, Zhao YP
1882 - 1887 Ion energy control at substrates during plasma etching of patterned structures
Silapunt R, Wendt AE, Kirmse KHR
1888 - 1891 Thermal model for a superstrate cooling apparatus for an integrated in-line manufacturing process for thin film photovoltaic devices
Enzenroth RA, Barth KL, Sampath WS, Manivannan V
1892 - 1895 Structure and magnetic property of c-axis oriented L1(0)-FePt nanoparticles on TiN/a-Si underlayers
Tsuji Y, Noda S, Yamaguchi Y
1896 - 1898 Influence of different surface-passivation dielectrics on high-temperature strain relaxation of AlGaN in AlGaN/GaN heterostructures
Chen DJ, Tao YQ, Chen C, Xie ZL, Zhai ZY, Wu XS, Han P, Zhang R, Zheng YD
1899 - 1902 Effects of surface plasmon resonant scattering on the power conversion efficiency of organic thin-film solar cells
Chang YC, Chou FY, Yeh PH, Chen HW, Chang SH, Lan YC, Guo TF, Tsai TC, Lee CT
1903 - 1908 Optimized plasma-deposited fluorocarbon coating for dry release and passivation of thin SU-8 cantilevers
Keller S, Haefliger D, Boisen A
1909 - 1915 Structural characteristics of single-crystal nanowires grown by self-catalytic chemical vapor deposition method
He MQ, Mohammad SN
1916 - 1921 Atomic diffusion and electronic structure in Al0.52In0.48P/GaAs heterostructures
Smith PE, Lueck M, Ringel SA, Brillson LJ
1922 - 1927 Atomic layer deposited HfO2/HfSixOyNz stacked gate dielectrics for metal-oxide-semiconductor structures
Kim S, Woo S, Kim H, Jeong W, Park T, Kim H, Kim SB, Jeon H
1928 - 1940 Mechanisms of porous dielectric film modification induced by reducing and oxidizing ash plasmas
Posseme N, Chevolleau T, David T, Darnon M, Louveau O, Joubert O
1953 - 1957 Graphoepitaxial cylindrical block copolymer nanodomains evaluated as bit patterned media template
Xiao SG, Yang XM
1958 - 1962 Imaging layers for the directed assembly of block copolymer films: Dependence of the physical and chemical properties of patterned polymer brushes on brush molecular weight
Stuen KO, In I, Han E, Streifer JA, Hamers RJ, Nealey PF, Gopalan P
1963 - 1968 Pattern transfer using poly(styrene-block-methyl methacrylate) copolymer films and reactive ion etching
Liu CC, Nealey PF, Ting YH, Wendt AE
1969 - 1975 Exploring the manufacturability of using block copolymers as resist materials in conjunction with advanced lithographic tools
Craig GSW, Nealey PF
1976 - 1981 Submicron aligned wafer bonding via capillary forces
Tupek MR, Turner KT
1982 - 1984 Creation of sub-20-nm contact using diblock copolymer on a 300 mm wafer for complementary metal oxide semiconductor applications
Li WK, Yang S
1985 - 1988 Nanostructures using self-assembled multilayers as molecular rulers and etch resists
Srinivasan C, Hohman JN, Anderson ME, Weiss PS, Horn MW
1989 - 1992 Rapid partial melt crystallization of silicon for monolithic three-dimensional integration
Witte DJ, Pickard DS, Crnogorac F, Pianetta P, Pease RFW
1993 - 1997 Surface energy induced patterning of organic and inorganic materials on heterogeneous Si surfaces
Tao L, Crouch A, Yoon F, Lee BK, Guthi JS, Kim J, Gao J, Hu W
1998 - 2003 Influence of the development process on ultimate resolution electron beam lithography, using ultrathin hydrogen silsesquioxane resist layers
Grigorescu AE, van der Krogt MC, Hagen CW, Kruit P
2004 - 2007 Nanofabrication of high aspect ratio 24 nm x-ray zone plates for x-ray imaging applications
Feng Y, Feser M, Lyon A, Rishton S, Zeng XH, Chen S, Sassolini S, Yun WB
2008 - 2012 Accurate control of remaining resist depth for nanoscale three-dimensional structures in electron-beam grayscale lithography
Lee SY, Anbumony K
2013 - 2016 Optimal temperature for development of poly(methylmethacrylate)
Cord B, Lutkenhaus J, Berggren KK
2017 - 2019 Imaging with surface sensitive backscattered electrons
Luo T, Khursheed A
2020 - 2024 Novel magnetic microstigmator for electron beam astigmatism correction in the electron beam microcolumn system
Rong R, Kim HS, Park SS, Hwang NW, Park KW, Jin SW, Ahn CH
2025 - 2029 Using high-contrast salty development of hydrogen silsesquioxane for sub-10-nm half-pitch lithography
Yang JKW, Berggren KK
2030 - 2033 Sub-30-nm hybrid lithography (electron beam/deep ultraviolet) and etch process for fully depleted metal oxide semiconductor transistors
Pauliac-Vaujour S, Brianceau P, Landis S, Chiaroni J, Faynot O
2034 - 2037 Enhanced stitching for the fabrication of photonic structures by electron beam lithography
Gnan M, Macintyre DS, Sorel M, De la Rue RM, Thoms S
2038 - 2040 Evaluation of hybrid lithography and mix and match scenarios for electron beam direct write applications
Hohle C, Arndt C, Choi KH, Kretz J, Lutz T, Thrum F, Keil K
2041 - 2044 Patterning issues in superconducting nanowire single photon detector fabrication
Constancias C, de Lamaestre RE, Cavalier OLP, Villegier JC
2045 - 2048 Influence of temperature on HSQ electron-beam lithography
Haffner M, Haug A, Heeren A, Fleischer M, Peisert H, Chasse T, Kern DP
2049 - 2054 Extracting the Boersch effect contribution from experimental energy spread measurements for Schottky electron emitters
Bronsgeest MS, Barth JE, Schwind GA, Swanson LW, Kruit P
2055 - 2058 Multilayer phase-only diffraction gratings: Fabrication and application to extreme ultraviolet optics
Salmassi F, Gullikson EM, Anderson EH, Naulleau PP
2059 - 2063 Process characterization of inductively coupled plasma etched silicon nanopillars by micro-Raman
Laws GM, Handugan A, Eschrich T, Boland P, Sinclair C, Myhajlenko S, Poweleit CD
2064 - 2067 Improving electron beam resist sensitivity by preexposure to deep ultraviolet radiation
Murali R, Brown D, Martin KP, Meindl JD
2068 - 2071 Fabrication of spiral-phase diffractive elements using scanning-electron-beam lithography
Tsai HY, Smith HI, Menon R
2072 - 2076 Real-time spatial-phase locking for vector-scan electron beam lithography
Yang Y, Hastings JT
2077 - 2080 Metal-semiconductor-metal electron detectors
Aldana R, Pease RF
2081 - 2084 Nanometer-scale gaps in hydrogen silsesquioxane resist for T-gate fabrication
Jin N, Choi S, Wang L, Chen G, Kim D, Kumar V, Adesida I
2085 - 2088 Effects of developer temperature on electron-beam-exposed hydrogen silsesquioxane resist for ultradense silicon nanowire fabrication
Choi S, Jin N, Kumar V, Adesida I, Shannon M
2089 - 2093 Extreme ultraviolet lithography: From research to manufacturing
La Fontaine B, Deng Y, Kim RH, Levinson HJ, Okoroanyanwu U, Sandberg R, Wallow T, Wood O
2094 - 2097 Nanoscale patterning in high resolution HSQ photoresist by interferometric lithography with tabletop extreme ultraviolet lasers
Wachulak PW, Capeluto MG, Marconi MC, Patel D, Menoni CS, Rocca JJ
2098 - 2103 Growth and printability of multilayer phase defects on extreme ultraviolet mask blanks
Liang T, Ultanir E, Zhang G, Park SJ, Anderson E, Gullikson E, Naulleau P, Salmassi F, Mirkarimi P, Spiller E, Baker S
2104 - 2109 Evaluation of surface roughness of Zerodur (R) substrates machined by Ar+ ion beam with energy of 3-10 keV
Kurashima Y, Uozumi R, Miyamoto I, Ando M, Numata A
2110 - 2113 Figuring and smoothing capabilities of elastic emission machining for low-thermal-expansion glass optics
Kanaoka M, Liu C, Nomura K, Ando M, Takino H, Fukuda Y, Mimura H, Yamauchi K, Mori Y
2114 - 2117 Estimation of diffusion lengths of acid and quencher in chemically amplified resist on the basis of extreme ultraviolet exposure results
Tanaka Y, Kikuchi Y, Goo D, Oizumi H, Nishiyama I
2118 - 2122 In situ x-ray absorption near-edge structure analysis for extreme ultraviolet lithography projection optics contamination
Niibe M, Kakutani Y, Koida K, Matsunari S, Aoki T, Terashima S, Takase H, Murakami K, Fukuda Y
2123 - 2126 Bit-array patterns with density over 1 Tbit/in.(2) fabricated by extreme ultraviolet interference lithography
Solak HH, Ekinci Y
2127 - 2131 Extreme ultraviolet lithography at IMEC: Shadowing compensation and flare mitigation strategy
Lorusso GF, Goethals AM, Jonckheere R, Hermans J, Ronse K, Myers AM, Niroomand A, Kim I, Niroomand A, Iwamoto F, Ritter D
2132 - 2135 Advanced resist testing using the SEMATECH Berkeley extreme ultraviolet microfield exposure tool
Naulleau PP, Anderson CN, Dean K, Denham P, Goldberg KA, Hoef B, Niakoula D, La Fontaine B, Wallow T
2136 - 2139 Effects of photoacid generator incorporation into the polymer main chain on 193 nm chemically amplified resist behavior and lithographic performance
Lee CT, Henderson CL, Wang MX, Gonsalves KE, Yueh W
2140 - 2144 Influence of solubility switching mechanism on resist performance in molecular glass resists
Lawson RA, Lee CT, Henderson CL, Whetsell R, Tolbert L, Yueh W
2145 - 2150 Progress in extreme ultraviolet interferometric and holographic lithography
Isoyan A, Cheng YC, Jiang F, Wallace J, Cerrinab F, Bollepalli S
2151 - 2154 Dual-domain scanning illuminator for the SEMATECH Berkeley microfield exposure tool
Anderson CN, Naulleau PP, Denham P, Kemp D, Rekawa S
2155 - 2161 Analysis of Coulomb and Johnsen-Rahbek electrostatic chuck performance for extreme ultraviolet lithography
Sogard MR, Mikkelson AR, Nataraju M, Turner KT, Engelstad RL
2162 - 2167 Production of noble gas ion beams in a focused ion beam machine using an electron beam ion trap
Ullmann F, Grossmann F, Ovsyannikov VP, Gierak J, Bourhis E, Ferre J, Jamet JP, Mougin A, Zschornack G
2168 - 2170 Fabrication of zinc nanotip arrays by ion beam sputtering
Chao LC, Liau CC, Lee JW, Tsai FC
2171 - 2174 Fabrication of three-dimensional structures of resist by proton beam writing
Furuta Y, Uchiya N, Nishikawa H, Haga J, Sato T, Oikawa M, Ishii Y, Kamiya T
2175 - 2179 Focused ion beam iodine-enhanced etching of high aspect ratio holes in InP photonic crystals
Callegari V, Nellen PM, Kaufmann J, Strasser P, Robin F
2180 - 2183 Deposition of carbonaceous structures using focused Au and Si ion-beam-induced chemical vapor deposition methods
Okada R, Yo T, Yanagisawa J, Matsui S
2184 - 2187 Membrane folding by helium ion implantation for three-dimensional device fabrication
Arora WJ, Sijbrandij S, Stern L, Notte J, Smith HI, Barbastathis G
2188 - 2191 Design studies for a high brightness, energetic neutral atom source for proximity lithography
Guo HJ, Craver B, Reynolds J, Wolfe JC
2192 - 2195 Mechanical nanostepping for atom beam lithography
Craver B, Roy A, Nounu H, Wolfe JC
2196 - 2201 Fabrication of a needle array using a Si gray mask for x-ray lithography
Mekaru H, Takano T, Awazu K, Takahashi M, Maeda R
2202 - 2209 Challenges in 1 Teradot/in.(2) dot patterning using electron beam lithography for bit-patterned media
Yang X, Xiao S, Wu W, Xu Y, Mountfield K, Rottmayer R, Lee K, Kuo D, Weller D
2210 - 2214 In situ monitoring and control of material growth for high resolution electron beam induced deposition
van Dorp WF, Hagen CW, Crozier PA, Kruit P
2215 - 2218 Redeposition characteristics of focused ion beam milling for nanofabrication
Winter DAM, Mulders JJL
2219 - 2223 Resolution in focused electron- and ion-beam induced processing
Utke I, Friedli V, Purrucker M, Michler J
2224 - 2227 Fabrication of 22 nm half-pitch silicon lines by single-exposure self-aligned spatial-frequency doubling
Raub AK, Li D, Frauenglass A, Brueck SRJ
2228 - 2232 Focused electron beam induced deposition of nickel
Perentes A, Sinicco G, Boero G, Dwir B, Hoffmann P
2233 - 2238 Oxygen assisted focused electron beam induced deposition of Si-containing materials: Growth dynamics
Perentes A, Hoffmann P
2239 - 2244 High brightness 100-electron-beam source for high-resolution applications
Zhang Y, Kruit P
2245 - 2249 Cathode ray tube type electron gun as a source for multibeam electron lithography
van den Brom AJ, van Veen AHV, Weeda WM, Berglund GZM, Wieland M, Kruit P
2250 - 2254 Annealing of electron beam induced deposits of platinum from Pt(PF3)(4)
Ervin MH, Chang D, Nichols B, Wickenden A, Barry J, Melngailis J
2255 - 2257 Electrospun DNA nanofibers
Bellan LM, Strychalski EA, Craighead HG
2258 - 2265 Multiple beam sub-80-nm lithography with miniature electron beam column arrays
Silver CS, Spallas JP, Muray LP
2266 - 2270 CsBr/GaN heterojunction photoelectron source
Maldonado JR, Liu Z, Sun Y, Schuetter S, Pianetta P, Pease RFW
2271 - 2276 Subwavelength proximity nanolithography using a plasmonic lens
Seo S, Kim HC, Ko H, Cheng M
2277 - 2283 Monolithic multichannel secondary electron detector for distributed axis electron beam lithography and inspection
Pickard DS, Kenney C, Tanimoto S, Crane T, Groves T, Pease RFW
2284 - 2287 Atomic-force lithography with interferometric tip-to-substrate position metrology
Moon EE, Kupec J, Mondol MK, Smith HI, Berggren KK
2288 - 2294 Electron beam and optical proximity effect reduction for nanolithography: New results
Peckerar M, Sander D, Srivastava A, Foli A, Vishkin U
2295 - 2300 Image contrast slope and line edge roughness of chemically amplified resists for postoptical lithography
Kozawa T, Tagawa S, Santillan JJ, Toriumi M, Itani T
2301 - 2306 Study of the assist features effect on the through focus behavior in isoline with an innovative method
Li JL, Yan QL, Melvin LS
2307 - 2311 Pattern matching, simulation, and metrology of complex layouts fabricated by electron beam lithography
Tsikrikas N, Drygiannakis D, Patsis GP, Raptis I, Gerardino A, Stavroulakis S, Voyiatzis E
2312 - 2316 Visualization of mold filling stages in thermal nanoimprint by using pressure gradients
Schift H, Bellini S, Mikkelsen MB, Gobrecht J
2317 - 2320 Ultrastiff stage for imprint lithography
Jeon Y, Feldman M, Jiang L
2321 - 2324 Chemical nanoimprint lithography for step-and-repeat Si patterning
Namatsu H, Oda M, Yokoo A, Fukuda M, Irisa K, Tsurumi S, Komatsu K
2325 - 2328 Optimizing nanoimprint and transfer-bonding techniques for three-dimensional polymer microstructures
Park H, Li HF, Cheng X
2329 - 2332 Fabrication of terahertz holograms
Walsby ED, Alton J, Worrall CH, Beere HE, Ritchie DA, Leach J, Padgett M, Cumming DRS
2333 - 2336 UV-nanoimprint with the assistance of gas condensation at atmospheric environmental pressure
Hiroshima H, Komuro M
2337 - 2340 Fabrication of 3D-photonic crystals via UV-nanoimprint lithography
Glinsner T, Lindner P, Muhlberger M, Bergmair I, Schoftner R, Hingerl K, Schmid H, Kley EB
2341 - 2345 Time dependent analysis of the resist deformation in thermal nanoimprint
Hirai Y, Onishi Y, Tanabe T, Nishihata M, Iwasaki T, Kawata H, Iriye Y
2346 - 2351 Nanoimprint lithography processes on 200 mm Si wafer for optical application: Residual thickness etching anisotropy
Chaix N, Gourgon C, Perret C, Landis S, Leveder T
2352 - 2356 Stretching and selective immobilization of DNA in SU-8 micro- and nanochannels
Yang B, Dukkipati VR, Li D, Cardozo BL, Pang SW
2357 - 2360 Fabrication of three dimensional structures for an UV curable nanoimprint lithography mold using variable dose control with critical-energy electron beam exposure
Mohamed K, Alkaisi MM, Blaikie RJ
2361 - 2364 Sub-100-nm three-dimensional nanoimprint lithography
Unno N, Taniguchi J, Ishii Y
2365 - 2369 Surface characterization of imprinted resist above glass transition temperature
Leveder T, Landis S, Davoust L, Soulan S, Tortai JH, Chaix N
2370 - 2372 Micro-nano mixture patterning by thermal-UV novel nanoimprint
Okuda K, Niimi N, Kawata H, Hirai Y
2373 - 2378 Benchmarking of 50 nm features in thermal nanoimprint
Gourgon C, Chaix N, Schift H, Tormen M, Landis S, Torres CMS, Kristensen A, Pedersen RH, Christiansen MB, Fernandez-Cuesta I, Mendels D, Montelius L, Haatainen T
2379 - 2383 Coarse-grain simulation of viscous flow and stamp deformation in nanoimprint
Sirotkin V, Svintsov A, Zaitsev S, Schift H
2384 - 2387 Photopolymerization kinetic study of UV nanoimprint lithography dedicated resists
Voisin P, Zelsmann M, Ridaoui H, Chouiki M, Gourgon C, Boussey J, Zahouily K
2388 - 2391 Bilayer metal wire-grid polarizer fabricated by roll-to-roll nanoimprint lithography on flexible plastic substrate
Ahn SH, Kim JS, Guo LJ
2392 - 2395 Impact of glass temperature for thermal nanoimprint
Scheer HC, Bogdanski N, Wissen M, Mollenbeck S
2396 - 2401 Characterizing nanoimprint profile shape and polymer flow behavior using visible light angular scatterometry
Al-Assaad RM, Regonda S, Tao L, Pang SW, Hu WW
2402 - 2406 Nonresidual layer imprinting and new replication capabilities demonstrated for fast thermal curable polydimethysiloxanes
Pina-Hernandez C, Kim JS, Fu PF, Guo LJ
2407 - 2411 Simple fabrication of UV nanoimprint templates using critical energy electron beam lithography
Joo J, Jun K, Jacobson JM
2412 - 2418 Creating micro- and nanostructures on tubular and spherical surfaces
Lima O, Tan L, Goel A, Negahban M, Li Z
2419 - 2424 Solid-state electrochemical nanoimprinting of copper
Schultz PL, Hsu KH, Fang NX, Ferreira PM
2425 - 2429 Economic approximate models for backscattered electrons
Rad LB, Downes I, Ye J, Adler D, Pease RFW
2430 - 2434 Improved release strategy for UV nanoimprint lithography
Garidel S, Zelsmann M, Chaix N, Voisin P, Boussey J, Beaurain A, Pelissier B
2435 - 2438 Viscosity measurement of nanoimprint lithography resists with a rheological nanoindenter
Svintsov AA, Trofimov OV, Zaitsev SI
2439 - 2443 Phase control in multiexposure spatial frequency multiplication
Zhao Y, Chang CH, Heilmann RK, Schattenburg ML
2444 - 2446 Study of process contributions to total overlay error budget for sub-60-nm memory devices
Shin J, Kang H, Choi S, Woo S, Kim H, Lee S, Lee J, Kang CJ
2447 - 2450 Deep ultraviolet photolithography capability of ZEP520A electron beam resist for mix and match lithography
Brown DK
2451 - 2452 Photolithography using an optical microscope
Gonski R, Melngailis J
2453 - 2460 Experimental demonstration of dark field illumination using contact hole features
Crouse MM, Schmitt-Weaver E, Hansen SG, Routh R
2461 - 2465 Double patterning overlay budget for 45 nm technology node single and double mask approach
Rigolli P, Turco C, Iessi U, Capetti G, Canestrari P, Fradilli A
2466 - 2470 Application of contrast enhancement layer to 193 nm lithography
Kim RH, Levinson HJ
2471 - 2475 Lithography, plasmonics, and subwavelength aperture exposure technology
Ngu Y, Peckerar M, Dagenais M, Barry J, Dutt BR
2476 - 2480 Laser interferometric nanolithography using a new positive chemical amplified resist
Luttge R, van Wolferen HAGM, Abelmann L
2481 - 2485 Acid distribution in chemically amplified extreme ultraviolet resist
Kozawa T, Tagawa S
2486 - 2489 Dissolution characteristics and reaction kinetics of molecular resists for extreme-ultraviolet lithography
Toriumi M, Santillan J, Itani T, Kozawa T, Tagawa S
2490 - 2495 Are extreme ultraviolet resists ready for the 32 nm node?
Petrillo K, Wei Y, Brainard R, Denbeaux G, Goldfarb D, Koay CS, Mackey J, Montgomery W, Pierson W, Wallow T, Wood O
2496 - 2503 Measurements of acid generation by extreme ultraviolet irradiation in lithographic films
Glodde M, Goldfarb DL, Medeiros DR, Wallraff GM, Denbeaux GP
2504 - 2507 Geometry impact on ultrahigh resolution pattern collapse
Jouve A, Simon J, Gonon L, Tortai JH
2508 - 2513 Pixelated chemically amplified resists: Investigation of material structure on the spatial distribution of photoacids and line edge roughness
La YH, Park SM, Meagley RP, Leolukman M, Gopalan P, Nealey PF
2514 - 2520 Direct measurement of the spatial extent of the in situ developed latent image by neutron reflectivity
Prabhu VM, Vogt BD, Kang S, Rao A, Lin EK, Satija SK
2521 - 2525 High throughput defect detection with multiple parallel electron beams
Van Himbergen HMP, Nijkerk MD, De Jager PWH, Hosman TC, Kruit P
2526 - 2530 Photoresist cross-sectioning with negligible damage using a dual-beam FIB-SEM: A high throughput method for profile imaging
Clarke JS, Michael BS, Orji NG
2531 - 2536 Hybrid semiconductor/nanoelectronic circuits: Freeing advanced lithography from the alignment accuracy burden
Likharev KK
2537 - 2546 Photolithographic synthesis of high-density DNA probe arrays: Challenges and opportunities
Pawloski AR, McGall G, Kuimelis RG, Barone D, Cuppoletti A, Ciccolella P, Spence E, Afroz F, Bury P, Chen C, Chen C, Pao D, Le M, Mcgee B, Harkins E, Savage M, Narasimhan S, Goldberg M, Rava R, Fodor SPA
2547 - 2552 Helium ion microscope invasiveness and imaging study for semiconductor applications
Livengood RH, Greenzweig Y, Liang T, Grumski M
2553 - 2557 Dry etch release processes for micromachining applications
Zhu T, Argyrakis P, Mastropaolo E, Lee KK, Cheung R
2558 - 2561 Mechanical properties of suspended graphene sheets
Frank IW, Tanenbaum DM, Van der Zande AM, McEuen PL
2562 - 2567 Surface gate and contact alignment for buried, atomically precise scanning tunneling microscopy-patterned devices
Fuechsle M, Ruea FJ, Reusch TCG, Mitic M, Simmons MY
2568 - 2571 Novel coexisted sol-gel derived poly-Si-oxide-nitride-oxide-silicon type memory
You HC, Wu CC, Ko FH, Lei TF, Yang WL
2572 - 2576 Adaptive wiring for 20 nm scale epitaxial silicon Ohmic contacts to silicon nanowires
Rooks MJ, Cohen GM, Chu JO, Solomon PM, Ott JA, Miller RJ, Viswanathan R, Haensch W
2577 - 2580 Hybrid carbon nanotube-silicon complementary metal oxide semiconductor circuits
Meric I, Caruso V, Caldwell R, Hone J, Shepard KL, Wind SJ
2581 - 2585 Hydrogen plasma-enhanced atomic layer deposition of copper thin films
Wu L, Eisenbraun E
2586 - 2592 Emission characteristics of Au60Be40 and Au62Si23Be15 liquid metal ion sources
Schwind GA, Swanson LW
2593 - 2597 Fabrication of ultrahigh aspect ratio freestanding gratings on silicon-on-insulator wafers
Ahn M, Heilmann RK, Schattenburg ML
2598 - 2602 Soft x-ray imaging of spin dynamics at high spatial and temporal resolution
Mesler BL, Fischer P, Chao W, Anderson EH, Kim DH
2603 - 2606 Ultrathin magnetic multilayer films for low-field microwave notch filters
Kuanr BK, Kuanr AV, Fal T, Camley RE, Celinski Z
2607 - 2610 Study of fluorine bombardment on the electrical properties of AlGaN/GaN heterostructures
Basu A, Kumar V, Adesida I
2611 - 2615 Prospects for nanowire sculptured-thin-film devices
Pursel SM, Horn MW
2616 - 2618 First-principles calculation of electronic structure and magnetic properties of copper adsorbed polar-ZnO surface
Kim YS, Chung YC
2619 - 2623 Nickel nanowires for planer microwave circuit applications and characterization
Marson RL, Kuanr BK, Mishra SR, Camley RE, Celinski Z
2624 - 2627 In situ visualization of local electric field in an ultrasharp tungsten emitter under a low voltage scanning transmission electron microscope
Fujita J, Ikeda Y, Okada S, Higashi K, Nakasawa S, Ishida M, Matsui S
2628 - 2631 Fabrication and tuning of nanoscale metallic ring and split-ring arrays
Sheridan AK, Clark AW, Glidle A, Cooper JM, Cumming DRS
2632 - 2636 Fabrication of two dimensional GaN nanophotonic crystals (31)
Rong B, Salemink HWM, Roeling EM, Van der Heijden R, Karouta F, Van der Drift E
2637 - 2641 Semitransparent Cu electrode on a flexible substrate and its application in organic light emitting diodes
Kang MG, Guo LJ
2642 - 2644 Photoluminescence enhancement in metallic nanocomposite printable polymer
Reboud V, Kehagias N, Striccoli M, Placido T, Panniello A, Curri ML, Zelsmann M, Reuther F, Gruetzner G, Torres CMS
2645 - 2648 Fabrication of high aspect ratio Si nanogratings with smooth sidewalls for a deep UV-blocking particle filter
Mukherjee P, Kang MG, Zurbuchen TH, Guo LJ, Herrero FA
2649 - 2653 V-groove plasmonic waveguides fabricated by nanoimprint lithography
Fernandez-Cuesta I, Nielsen RB, Boltasseva A, Borrise X, Perez-Murano F, Kristensen A
2654 - 2657 58 nm half-pitch plastic wire-grid polarizer by nanoimprint lithography
Chen L, Wang JJ, Walters F, Deng X, Buonanno M, Tai S, Liu XM
2658 - 2661 Optimization of hydrogen silsesquioxane for photonic applications
Holzwarth CW, Barwicz T, Smith HI
2662 - 2664 Membrane stacking: A new approach for three-dimensional nanostructure fabrication
Patel AA, Smith HI