L27 - L30 |
Acid generation efficiency in a model system of chemically amplified extreme ultraviolet resist Kozawa T, Tagawa S, Oizumi H, Nishiyama I |
2485 - 2498 |
On the use of alloying elements for Cu interconnect applications Barmak K, Cabral C, Rodbell KP, Harper JME |
2499 - 2508 |
Micromechanical resonators and filters for microelectromechanical system applications Motiee M, Mansour RR, Khajepour A |
2509 - 2517 |
Microscopic approach to an equation for the heat flow between wafer and E-chuck Klick M, Bernt M |
2518 - 2522 |
Metal-insulator-metal capacitors using atomic-layer-deposited Al2O3/HfO2/Al2O3 sandwiched dielectrics for wireless communications Ding SJ, Huang YJ, Li YB, Zhang DW, Zhu C, Li MF |
2523 - 2532 |
Area selective atomic layer, deposition of titanium dioxide: Effect of precursor chemistry Sinha A, Hess DW, Henderson CL |
2533 - 2537 |
Focused ion beam fabrication of two dimensional photonic crystals in silicon-on-insulator Balasubramanian K, Heard PJ, Cryan MJ |
2538 - 2543 |
Development of a regeneration-type neural interface: A microtube guide for axon growth of neuronal cells fabricated using focused-ion-beam chemical vapor deposition Hoshino T, Ozasa A, Kometani R, Suzuki T, Matsui S, Mabuchi K |
2544 - 2552 |
Generalized model of the metal/n-GaN Schottky interface and improved performance by electrochemical Pt deposition Cojocari O, Hartnagel HL |
2553 - 2559 |
Chemical lithography Yao P, Schneider GJ, Murakowski J, Prather DW |
2560 - 2565 |
Direct correlation of negative magnetoresistance with concentrations of localized holes in Be delta-doped GaAs structures Noh JP, Iwasaki S, Jung DW, Islam AZMT, Otsuka N |
2566 - 2569 |
Direct-write electron-beam lithography of an IR antenna-coupled microbolometer onto the surface of a hemispherical lens Middlebrook CT, Zummo G, Boreman GD |
2570 - 2574 |
Effect of the addition of argon to reactive nitrogen gas on field emission properties of amorphous carbon nitride films Li JJ, Mimura H, Neo Y, Gu CZ, Li HJ, Chen SX |
2575 - 2580 |
Field electron emission characteristics of diamond films with different grain morphologies Lu X, Yang Q, Chen W, Xiao C, Hirose A |
2581 - 2585 |
InAs/AlSb high-electron-mobility transistors by molecular-beam epitaxy for low-power applications Lange MD, Tsai RS, Deal WR, Nam PS, Lee LJ, Sandhu RS, Hsing R, Poust BD, Kraus JL, Gutierrez-Aitken AL, Bennett BR, Boos JB, Noori AM, Hayashi SL, Goorsky MS |
2586 - 2591 |
Epitaxial growth and strain relaxation of MgO thin films on Si grown by molecular beam epitaxy Niu F, Meier AL, Wessels BW |
2592 - 2596 |
Controlled electroplating for high-aspect-ratio zone-plate fabrication Holmberg A, Lindblom M, Hertz HM |
2597 - 2600 |
Mobility and charge density tuning in double delta-doped pseudomorphic high-electron-mobility transistors grown by metal organic chemical vapor deposition Lee CY, Shiao HP, Kuo KC, Wu HY, Lin WH |
2601 - 2605 |
Fabrication and characterization of high breakdown voltage AlGaN/GaN heterojunction field effect transistors on sapphire substrates Choi YC, Pophristic M, Peres B, Spencer MG, Eastman LF |
2606 - 2611 |
Proposal of a new microreactor for vertical chemical operation Utsumi Y, Asano T, Ukita Y, Matsui K, Takeo M, Negoro S |
2612 - 2615 |
Vertical-via interconnection for infrared antennas Mandviwala TA, Lail BA, Boreman GD |
2616 - 2620 |
Electron-beam lithography of Co/Pd multilayer with hydrogen silsesquioxane and amorphous Si intermediate layer Wi JS, Lee TY, Jin KB, Hong DH, Shin KH, Kim KB |
2621 - 2626 |
Electrical properties of fluorine-doped silicon-oxycarbide dielectric barrier for copper interconnect Huang CC, Huang JL, Wang YL, Chang JJ |
2627 - 2630 |
Magnetization losses in submicrometer CoFeB dots etched in a high ion density Cl-2-based plasma Fabrie CGCHM, Kohlhepp JT, Swagten HJM, Koopmans B, Andriesse MSP, van der Drift E |
2631 - 2635 |
Actinic extreme ultraviolet lithography mask blank defect inspection by photoemission electron microscopy Lin JQ, Neuhaeusler U, Slieh J, Brechling A, Kleineberg U, Heinzmann U, Oelsner A, Valdaitsev D, Schoenhense G, Weber N, Escher M, Merkel M |
2636 - 2639 |
Efficient fabrication and characterization of cobalt nanoparticles embeddedin metal/oxide/semiconductor structures for the application of nonvolatile memory Yang JY, Yoon KS, Choi WJ, Do YH, Kim JH, Kim CO, Hong JP |
2640 - 2644 |
Study of diffusion barriers for Au metal on liquid phase oxidized GaAs Sze PW, Huang JJ, Chou DW, Wang YH |
2645 - 2652 |
Effects of various plasma pretreatments on 193 nm photoresist and linewidth roughness after etching Kim MC, Shamiryan D, Jung Y, Boullart W, Kang CJ, Cho HK |
2653 - 2658 |
Mobility study of a new naphthalenetetracarboxylic dilmide derivative Yang D, Shrestha RP, Li YX, Yan L, Irene EA |
2659 - 2667 |
Immersion lithography for laser mask writing Bassett DW, Bonnecaze RT |
2668 - 2671 |
Suppression of surface segregation of silicon dopants during molecular beam epitaxy of (411)A In0.75Ga0.25As/In0.52Al0.48As pseudomorphic high electron mobility transistor structures Sagisaka H, Kitada T, Shimomura S, Hiyamizu S, Watanabe I, Matsui T, Mimura T |
2672 - 2677 |
Anisotropic high aspect ratio etch for perfluorcyclobutyl polymers with stress relief technique Rahmanian N, Kim S, Nordin GP |
2678 - 2688 |
Plasma oxidation of polyhedral oligomeric silsesquioxane polymers Eon D, Raballand V, Cartry G, Cardinaud C, Vourdas N, Argitis P, Gogolides E |
2689 - 2694 |
Effects of SiO2/Si3N4 hard masks on etching properties of metal gates Hwang WS, Cho BJ, Chan DSH, Bliznetsov V, Yoo WJ |
2695 - 2701 |
Time of flight secondary ion mass spectroscopy investigation of ultralow-k dielectric modifications in hydrogen and deuterium plasmas Lazzeri P, Stueber GJ, Oehrlein GS, McGowan R, Busch E |
2702 - 2705 |
Thin-film transistors with amorphous indium gallium oxide channel layers Chiang HQ, Hong D, Hung CM, Presley RE, Wager JF, Park CH, Keszler DA, Herman GS |
2706 - 2715 |
Demonstration of spatially programmable chemical vapor deposition: Model-based uniformity/nonuniformity control Sreenivasan R, Adomaitis RA, Rubloff GW |
2716 - 2722 |
Quantifying release in step-and-flash imprint lithography Chan EP, Crosby AJ |
2723 - 2725 |
Low-resistance Ohmic contacts developed on undoped AlGaN/GaN-based high electron mobility transistors with AlN interlayer Sun YJ, Eastman LF |
2726 - 2730 |
Electron cyclotron plasma etching damage investigated by InGaAs/GaAs quantum well photoluminescence Mestanza SNM, Frateschi NC |
2731 - 2736 |
Characterization and optimization of a P-channel poly(o-methoxyaniline) based thin film transistor Shrestha RP, Yang DX, Li YX, Yan L, Irene EA |
2737 - 2740 |
Effects of Zn content on structural and transparent conducting properties of indium-zinc oxide films grown by rf magnetron sputtering Park JS, Song JI, Heo YW, Lee JH, Kim JJ, Lim WT, Stafford L, Norton DP, Pearton SJ |
2741 - 2747 |
Deviations from ideal nucleation-limited relaxation in high-Ge content compositionally graded SiGe/Si Isaacson DM, Dohrman CL, Fitzgerald EA |
2748 - 2754 |
Mechanisms of isotropic and selective etching between SiGe and Si Caubet V, Beylier C, Borel S, Renault O |
2755 - 2760 |
Custom design of optical-grade thin films of silicon oxide by direct-write electron-beam-induced deposition Wanzenboeck HD, Fischer M, Svagera R, Wernisch J, Bertagnolli E |
2761 - 2764 |
Coulomb blur in a multi-electron-beam system Sohda Y, Kamimura O, Ohta H |
2765 - 2768 |
Interconnecting single nano-objects on surfaces for transport experiments Della Torre A, Pompa PP, del Mercato LL, Cingolani R, Rinaldi R, Shankar SS, Sastry M |
2769 - 2771 |
Fabrication of nanoelectromechanical resonators using a cryogenic etching technique Nelson-Fitzpatrick N, Westra K, Li P, McColman S, Wilding N, Evoy S |
2772 - 2775 |
Reverse transfer of nanostencil patterns using intermediate sacrificial layer and lift-off process Park CW, Mena OV, van den Boogaart MAF, Brugger J |
2776 - 2778 |
Enabling in situ atomic scale surface imaging for vertical molecular beam epitaxy machines Kim DJ, Cha D, Salamo GJ, Yang H |
2788 - 2788 |
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Preface Wind SJ |
2789 - 2797 |
Immersion patterning down to 27 nm half pitch Bloomstein TM, Fedynyshyn TH, Pottebaum I, Marchant MF, Deneault SJ, Rothschild M |
2798 - 2802 |
Effect of resist surface characteristics on film-pulling velocity in immersion lithography Schuetter S, Shedd T, Nellis G, Romano A, Dammel R, Padmanaban M, Houlihan F, Krawicz A, Lin G, Rahman D, Chakrapani S, Neisser M, Van Peski C |
2803 - 2807 |
Impact of stray light depending on image quality: An approximation using total integrated scatter Kim YC, De Bisschop P, Vandenberghe G, Van Den Hove L, Cho H, Moon J |
2808 - 2814 |
Phase shift mask interferometric birefringence monitor McIntyre GR, Neureuther A |
2815 - 2819 |
Generation of isofocal target patterns using process modeling during optical proximity correction Melvin LS, Croffie E, Biswas A |
2820 - 2823 |
Novel absorber stack for minimizing shadow effect in extreme ultraviolet mask Kim TG, Kim BH, Kang IY, Chung YC, Ahn J, Lee SY, Park IS, Kim CY, Lee NE |
2824 - 2828 |
Actinic inspection of extreme ultraviolet programed multilayer defects and cross-comparison measurements Goldberg KA, Barty A, Liu YW, Kearney P, Tezuka Y, Terasawa T, Taylor JS, Han HS, Wood OR |
2829 - 2833 |
Distortion of chucked extreme ultraviolet reticles from entrapped particles Ramaswamy V, Engelstad RL, Turner KT, Mikkelson AR, Veeraraghavan S |
2834 - 2839 |
Electrostatic chucking for extreme ultraviolet lithography: Simulations and experiments Nataraju M, Sohn J, Veeraraghavan S, Mikkelson AR, Turner KT, Engelstad RL, Van Peski CK, Orvek KJ |
2840 - 2843 |
Three-dimensional patterning using fine step motion in synchrotron radiation lithography Fukuda M, Deguchi K, Suzuki M, Utsumi Y |
2844 - 2847 |
Properties of zone plates used for lithography Zheng R, Jiang L, Feldman M |
2848 - 2851 |
Pulse reverse plating for uniform nickel height in zone plates Lindblom M, Hertz HM, Holmberg A |
2852 - 2856 |
Spatial light modulator for maskless optical projection lithography Watson GP, Aksyuk V, Simon ME, Tennant DM, Cirelli RA, Mansfield WM, Pardo F, Lopez DO, Bolle CA, Papazian AR, Basavanhally N, Lee J, Fullowan R, Klemens F, Miner J, Kornblit A, Sorsch T, Fetter L, Peabody M, Bower JE, Weiner JS, Low YL |
2857 - 2860 |
Integrated multi-electron-beam blanker array for sub-10-nm electron beam induced deposition Zhang YX, Heerkens CTH, van Bruggen MJ, Kruit P |
2861 - 2865 |
Electrostatic chuck fringe field simulation and its impact on electron beam extreme ultraviolet mask patterning Ruan JR, Hartley J |
2866 - 2870 |
Predicting electron projection lithography mask membrane image placement errors Boruszewski MJ, Engelstad RL, Sakaue H, Arimoto H, Eguchi H |
2871 - 2874 |
Helium ion microscope: A new tool for nanoscale microscopy and metrology Ward BW, Notte JA, Economou NP |
2875 - 2880 |
Real-time determination of electron-beam probe shape using an in situ fiducial grid Hastings JT |
2881 - 2885 |
Orientation dependence of linewidth variation in sub-50-nm Gaussian e-beam lithography and its correction Lu M, Tennant DM, Jacobsen CJ |
2886 - 2891 |
Photoelectron emission studies in CsBr at 257 nm Maldonado JR, Liu Z, Sun Y, Pianetta PA, Pease FW |
2892 - 2896 |
Arrayed miniature electron beam columns for mask making Spallas JP, Silver CS, Muray LP |
2897 - 2901 |
Comparison of parameters for Schottky and cold field emission sources Schwind GA, Magera G, Swanson LW |
2902 - 2906 |
High brightness inductively coupled plasma source for high current focused ion beam applications Smith NS, Skoczylas WP, Kellogg SM, Kinion DE, Tesch PP, Sutherland O, Aanesland A, Boswell RW |
2907 - 2910 |
Using laser-cooled atoms as a focused ion beam source Hanssen JL, Dakin EA, McClelland JJ, Jacka M |
2911 - 2914 |
Mechanical characteristics and applications of diamondlike-carbon cantilevers fabricated by focused-ion-beam chemical vapor deposition Igaki J, Nakamatsu KI, Kometani R, Kanda K, Haruyama Y, Kaito T, Matsui S |
2915 - 2919 |
Estimation of scattered particle exposure in ion beam aperture array lithography Parekh V, Ruiz A, Ruchhoeft P, Nounu H, Litvinov D, Wolfe JC |
2920 - 2925 |
Electron beam lithography for magnetic recording heads: Characterization and optimization of critical components Yang XM, Zhou JY, Xiao SG, Mountfield K |
2926 - 2930 |
Two-dimensional Bragg grating lasers defined by electron-beam lithography DeRose GA, Zhu L, Choi JM, Poon JKS, Yariv A, Scherer A |
2931 - 2935 |
Predicted effect of shot noise on contact hole dimension in e-beam lithography Kruit P, Steenbrink S, Wieland M |
2936 - 2939 |
Process optimization and proximity effect correction for gray scale e-beam lithography Murali R, Brown DK, Martin KP, Meindl JD |
2940 - 2944 |
Electron-beam patterning and process optimization for magnetic sensor fabrication Xiao SG, Yang XM |
2945 - 2950 |
Sub-100-nm lithography with miniature electron beam columns Muray LP, Silver CS, Spallas JP |
2951 - 2955 |
Silicon photodiodes for low-voltage electron detection in scanning electron microscopy and electron beam lithography Silver CS, Spallas JP, Muray LP |
2956 - 2959 |
Technique to automatically measure electron-beam diameter and astigmatism: BEAMETR Babin S, Gaevski M, Joy D, Machin M, Martynov A |
2960 - 2963 |
Replication of diffractive-optical arrays via photocurable nanoimprint lithography Galus MD, Moon E, Smith HI, Menon R |
2964 - 2967 |
Nanowire fin field effect transistors via UV-based nanoimprint lithography Fuchs A, Bender M, Plachetka U, Kock L, Wahlbrink T, Gottlob HDB, Efavi JK, Moeller M, Schmidt M, Mollenhauer T, Moormann C, Lemme MC, Kurz H |
2968 - 2972 |
Hybrid mold reversal imprint for three-dimensional and selective patterning Peng C, Pang SW |
2973 - 2978 |
Evidence for internal stresses induced by nanoimprint lithography Ro HW, Ding YF, Lee HJ, Hines DR, Jones RL, Lin EK, Karim A, Wu WL, Soles CL |
2979 - 2983 |
Direct die-to-database electron beam inspection of fused silica imprint templates Resnick DJ, Myron LJ, Thompson E, Hasebe T, Tokumoto T, Yan C, Yamamoto M, Wakamori H, Inoue M, Ainley E, Nordquist KJ, Dauksher WJ |
2984 - 2987 |
Multiple level nanochannels fabricated using reversal UV nanoimprint Yang B, Pang SW |
2988 - 2992 |
Atomic force microscopy local anodic oxidation of thin Si3N4 ayers for robust prototyping of nanostructures Fernandez-Cuesta I, Borrise X, Perez-Murano F |
2993 - 2997 |
Deposition and patterning of diamondlike carbon as antiwear nanoimprint templates Ramachandran S, Tao L, Lee TH, Sant S, Overzet LJ, Goeckner MJ, Kim MJ, Lee GS, Hu W |
2998 - 3001 |
Thermal imprint with negligibly low residual layer Bogdanski N, Wissen M, Mollenbeck S, Scheer HC |
3002 - 3005 |
Submicron three-dimensional structures fabricated by reverse contact UV nanoimprint lithography Kehagias N, Reboud V, Chansin G, Zelsmann M, Jeppesen C, Reuther F, Schuster C, Kubenz M, Gruetzner G, Torres CMS |
3006 - 3010 |
Influence of light polarization on UV stabilization of prepatterned resists Wissen M, Bogdanski N, Moellenbeck S, Helm P, Scheer HC |
3011 - 3015 |
Influence of mold depth on capillary bridges in nanoimprint lithography Chaix N, Landis S, Hermelin D, Leveder T, Perret C, Delaye V, Gourgon C |
3016 - 3020 |
Layer thickness-induced lens distortions during thermal nanoimprint Scheer HC, Bogdanski N, Mollenbeck S, Wissen M, Nishilata M, Hirai Y |
3021 - 3024 |
Epoxy-containing ArF resists with narrow molecular weight distribution Shirai M, Manabe M, Tsuji S, Itani T |
3025 - 3030 |
Pattern noise in electron beam resists: PMMA, KRS-XE, TOK, HSQ Miller MA, Poppe WJ, Neureuther AR, Liddle A, Harteneck B |
3031 - 3039 |
Contribution of photoacid generator to material roughness Fedynyshyn TH, Pottebaum I, Astolfi DK, Cabral A, Roberts J, Meagley R |
3040 - 3043 |
Three-dimensional resist development simulation with discrete models Schnattinger T, Bar E, Erdmann A |
3044 - 3047 |
Exposure dose effects on the reaction-diffusion process in model extreme ultraviolet photoresists Lavery KA, Vogt BD, Prabhu VM, Lin EK, Wu WL, Satija SK, Choi KW |
3048 - 3054 |
Scanning x-ray microscopy investigations into the electron-beam exposure mechanism of hydrogen silsesquioxane resists Olynick DL, Liddle JA, Tivanski AV, Gilles MK, Tyliszczak T, Salmassi F, Liang K, Leone SR |
3055 - 3060 |
Analysis of acid yield generated in chemically amplified electron beam resist Kozawa T, Shigaki T, Okamoto K, Saeki A, Tagawa S, Kai T, Shimokawa T |
3061 - 3065 |
Effect of cold development on improvement in electron-beam nanopatterning resolution and line roughness Ocola LE, Stein A |
3066 - 3072 |
Correlation between proton. dynamics and line edge roughness in chemically amplified resist for post-optical lithography Saeki A, Kozawa T, Tagawa S, Cao HB |
3073 - 3076 |
Time-dependent exposure dose of hydrogen silsesquioxane when used as a negative electron-beam resist Clark N, Vanderslice A, Grove R, Krchnavek RR |
3077 - 3082 |
Transmission electron microscopy: A linewidth measurement technique for lithography Warren JB, Stein A |
3083 - 3087 |
N.anometer-precision pattern registration for scanning-probe lithographies using interferometric-spatial-phase imaging Smith HI |
3088 - 3093 |
Integrated aerial image sensor: Design, modeling, and assembly Xue J, Moen K, Spanos CJ |
3094 - 3100 |
From nanoscale displacement sensing and estimation to nanoscale alignment Gao J, Picciotto C, Wu W, Tong WM |
3101 - 3104 |
Study of overlay metrology in atomic force microscope lithography (overlaying lithography with atomic force microscope) Li XN, Han L, Gu WQ |
3105 - 3109 |
Impact of registration error of reticle on total overlay error budget Lee DY, Chun YJ, Yoon JB, Lee SH, Lee SJ, Cho HK, Moon JT |
3110 - 3114 |
Pattern reconstruction of scanning electron microscope images using long-range content complexity analysis of the edge ridge signal Feng HY, Ye J, Pease RF |
3115 - 3120 |
True three-dimensional proximity effect correction in electron-beam lithography Anbumony K, Lee SY |
3121 - 3124 |
Modeling of linewidth measurement in scanning electron microscopes using advanced Monte Carlo software Babin S, Borisov S, Ivanchikov A, Ruzavin I |
3125 - 3127 |
Reversing the hydrogen silsesquioxane image by silicon nitride and silicon oxide chemical mechanical polishing van Delft FCMJM, van der Kruis FJH, van Eerd PPJ, van Esch HA, van de Laar HWJJ |
3128 - 3132 |
Thin membrane self-alignment using nanomagnets for three-dimensional nanomanufacturing Nichol AJ, Arora WJ, Barbastathis G |
3133 - 3138 |
Microelectrical noise detector for rapid, specific, and sensitive identification of bacteria Seo S, Kim HC, Cheng MS, Ruan XC, Ruan W |
3139 - 3143 |
Robust shadow-mask evaporation via lithographically controlled undercut Cord B, Dames C, Berggren KK |
3144 - 3147 |
Direct wiring of carbon nanotubes for integration in nanoelectromechanical systems Bauerdick S, Linden A, Stampfer C, Helbling T, Hierold C |
3148 - 3151 |
Micromachined piezoresistive proximal probe with integrated bimorph actuator for aligned single ion implantation Persaud A, Ivanova K, Sarov Y, Ivanov T, Volland BE, Rangelow IW, Nikolov N, Schenkel T, Djakov V, Jenkins DWK, Meijer J, Vogel T |
3152 - 3156 |
Characterization of hydrogen silsesquioxane as a Cl-2/BCl3 inductively coupled plasma etch mask for air-clad InP-based quantum well waveguide fabrication Park D, Stievater TH, Rabinovich WS, Green N, Kanakaraju S, Calhoun LC |
3157 - 3161 |
Enhancing etch resistance of hydrogen slisesquioxane via postdevelop electron curing Yang JKW, Anant V, Berggren KK |
3162 - 3164 |
Etching of Pyrex glass substrates by inductively coupled plasma reactive ion etching for micro/nanofluidic devices Jung HC, Lu W, Wang SN, Lee LJ, Hu X |
3165 - 3168 |
Electron beam induced deposition of low resistivity platinum from Pt(PF3)(4) Barry JD, Ervin M, Molstad J, Wickenden A, Brintlinger T, Hoffman P, Meingailis J |
3169 - 3172 |
Mechanical property evaluation of Au-coated nanospring fabricated by combination of focused-ion-beam chemical vapor deposition and sputter coating Nakamatsu K, Kanda K, Haruyama Y, Ichihashi T, Kaito T, Matsui S |
3173 - 3178 |
Precise positioning of single-walled carbon nanotubes by ac dielectrophoresis Banerjee S, White BE, Huang LM, Rego BJ, O'Brien S, Herman IP |
3179 - 3183 |
Control of an electrospinning jet using electric focusing and jet-steering fields Bellan LM, Craighead HG |
3184 - 3187 |
Contactless capturing of particles in liquid using pulsed alternating dielectrophoresis Luo CP, Heeren A, Henschel W, Fleischer M, Kern DP |
3188 - 3191 |
Highly porous silicon membrane fabrication using polymer self-assembly Black CT, Guarini KW, Breyta G, Colburn MC, Ruiz R, Sandstrom RL, Sikorski EM, Zhang Y |
3192 - 3195 |
Nanoscale floating-gate characteristics of colloidal Au nanoparticles electrostatically assembled on Si nanowires Jeon HS, Cho CW, Lim CH, Park B, Ju H, Kim S, Lee SB |
3196 - 3199 |
Gold nanoparticle wires made using RNA-based self-assembly Cumming DRS, Bates AD, Callen BP, Cooper JM, Cosstick R, Geary C, Glidle A, Jaeger L, Pearson JL, Proupin-Perez M, Xu C |
3200 - 3204 |
Extensions of molecular ruler technology for nanoscale patterning Srinivasan C, Anderson ME, Carter EM, Hohman JN, Bharadwaja SSN, Trolier-McKinstry S, Weiss PS, Horn MW |
3205 - 3208 |
Ultrafast patterning of nanoparticles by electrostatic lithography Joo J, Moon S, Jacobson JM |
3209 - 3212 |
Approach to variable frequency measurements of carbon nanotube transistors Amlani I, Lewis J, Zhang R, Nordquist K, Rockwell S |
3213 - 3216 |
1-to 2-nm-wide nanogaps fabricated with single-walled carbon nanotube shadow masks De Poortere EP, Stormer HL, Huang LM, Wind SJ, O'Brien S, Huang M, Hone J |
3217 - 3220 |
Fabrication and characterization of novel cross point structures for molecular electronic integrated circuits Chen W, Liu XQ, Tan ZK, Likharev KK, Lukens JE, Mayr A |
3221 - 3226 |
Threshold voltage adjustment on spherical, single-crystal silicon substrates by focused ion beam implantation Zomorrodian V, Craver B, Radhakrishnan G, Patel M, Charlson EJ, Ruchhoeft P, Wolfe JC |
3227 - 3229 |
Chemically responsive molecular transistors fabricated by self-aligned lithography and chemical self-assembly Tang J, Wang Y, Nuckolls C, Wind SJ |
3230 - 3233 |
Super-self-aligned back-gate/double-gate planar transistors: Novel fabrication approach Lin H, Liu HT, Kumar A, Avci U, Van Delden JS, Tiwari S |
3234 - 3238 |
Directly patterning ferroelectric films by nanoimprint innography with low temperature and low pressure Hsieh KC, Chen HL, Lin CH, Lee CY |
3239 - 3243 |
Characterization and operation of a mechanically actuated silicon microgripper Blideran MM, Fleischer M, Henschel W, Kern DP, Sterr J, Schock K, Kleindiek S, Langer MG, Loffler K, Grauvogel F |
3244 - 3247 |
Accurate resonant frequency spacing of microring filters without postfabrication trimming Holzwarth CW, Barwicz T, Popovic MA, Rakich PT, Ippen EP, Kartner FX, Smith HI |
3248 - 3251 |
Reproduction of the Morpho blue by nanocasting lithography Saito A, Miyamura Y, Nakajima M, Ishikawa Y, Sogo K, Kuwahara Y, Hirai Y |
3252 - 3257 |
Wafer-scale fabrication of polymer distributed feedback lasers Christiansen MB, Scholer M, Balslev S, Nielsen RB, Petersen DH, Kristensen A |
3258 - 3262 |
Progress towards tubes with regular nanopatterned inner surfaces Seunarine K, Tormen M, Gadegaard N, Riehle M, Wilkinson CDW, Businaro L, Romanato F |