화학공학소재연구정보센터

Journal of Vacuum Science & Technology B

Journal of Vacuum Science & Technology B, Vol.21, No.6 Entire volume, number list
ISSN: 1071-1023 (Print) 

In this Issue (174 articles)

L9 - L11 Synthesis of oxide nanoparticies embedded in polyimide
Chung Y, Park HP, Jeon HJ, Yoon CS, Lim SK, Kim YH
L12 - L15 Nanometer-period gratings in hydrogen silsesquioxane fabricated by electron beam lithography
Word MJ, Adesida I, Berger PR
2231 - 2261 Atomic layer deposition of metal and nitride thin films: Current research efforts and applications for semiconductor device processing
Kim H
2262 - 2296 Adhesion and stiction: Mechanisms, measurement techniques, and methods for reduction
Bhushan B
2297 - 2303 Demonstrations of electronic pattern switching and 10X pattern demagnification in a maskless microion-beam reduction lithography system
Ngo VV, Akker B, Leung KN, Noh I, Scott KL, Wilde S
2304 - 2312 Critical dimension control of a plasma etch process by integrating feedforward and feedback run-to-run control
El Chemali C, Freudenberg J, Hankinson M, Collison W, Ni T
2313 - 2318 Nanoparticle beam formation and investigation of gold nanostructured films
Khabari A, Urban FK, Griffiths P, Petrov I, Kim YW, Bungay C
2319 - 2323 Thermal stability of Pd supported on single crystalline SiO2 thin films
Min BK, Santra AK, Goodman DW
2324 - 2328 Photoluminescence quenching mechanisms in GaInNAs/GaAs quantum well grown by solid source molecular beam epitaxy
Ng TK, Yoon SF, Fan WJ, Loke WK, Wang SZ, Ng ST
2329 - 2333 Wavelet monitoring of plasma etching
Kim B, Choi WS, Lim MT
2334 - 2343 Focused ion beam milling of diamond: Effects of H2O on yield, surface morphology and microstructure
Adams DP, Vasile MJ, Mayer TM, Hodges VC
2344 - 2347 Electric field effects in single semiconductor quantum dots observed by scanning tunneling luminescence
Hakanson U, Hakanson H, Johansson MKJ, Samuelson L, Pistol ME
2348 - 2351 High aspect ratio nano-oxidation of silicon with noncontact atomic force microscopy
Clement N, Tonneau D, Gely B, Dallaporta H, Safarov V, Gautier J
2352 - 2359 20 nm polysilicon gate patterning and application in 36 nm complementary metal-oxide-semiconductor devices
Xu QX, He Q, Liu M, Zhao YY, Chen BQ, Han ZS, Ye TC, Wu DX
2360 - 2367 Switching characteristics of electrostatically actuated miniaturized micromechanical metallic cantilevers
Teh WH, Luo JK, Graham MR, Pavlov A, Smith CG
2368 - 2370 Magnesium oxide gate dielectrics grown on GaN using an electron cyclotron resonance plasma
Gila BP, Onstine AH, Kim J, Allums KK, Ren F, Abernathy CR, Pearton SJ
2371 - 2377 Generation mechanism of residual clamping force in a bipolar electrostatic chuck
Kanno S, Usui T
2378 - 2381 Annealing temperature dependence of contact resistance and stablity for Ti/Al/Pt/Au ohmic contacts to bulk n-ZnO
Ip K, Baik KH, Heo YW, Norton DP, Pearton SJ, LaRoche JR, Luo B, Ren F, Zavada JM
2382 - 2387 Electron field emission of radio frequency magnetron sputtered CNx films annealed at different temperatures
Li JJ, Zheng WT, Jin ZS, Wang X, Bian HJ, Gu GR, Zhao YN, Meng SH, He XD, Han JC
2388 - 2392 Si adatom diffusion on Si (100) surface in selective epitaxial growth of Si
Lim SH, Song S, Park TS, Yoon E, Lee JH
2393 - 2397 Deep dry etching of GaAs and GaSb using Cl-2/Ar plasma discharges
Giehl AR, Gumbel M, Kessler M, Herhammer N, Hoffmann G, Fouckhardt H
2398 - 2403 Positive charge effect in atomic force microscope anodization lithography using self-assembled monolayers of metal phosphate as resists
Kim SM, Lee H
2404 - 2410 Study of beveled angle effect on morphology of dual damascene via filling using ionized physical vapor deposition
Hwang CC, Chang JG, Weng MH, Lin HC
2411 - 2414 Formation of metastable c-AlN and its effect on the mechanical properties of AlN/(Ti,Al)N nanoscale multilayers
Hu XP, Yu XJ, Lao JJ, Li GY, Gu MY
2415 - 2419 Growth of semiconductor gallium nitride nanowires with different catalysts
Zhang J, Zhang LD
2420 - 2427 Ion-enhanced chemical etching of HfO2 for integration in metal-oxide-semiconductor field effect transistors
Sha L, Puthenkovilakam R, Lin YS, Chang JP
2428 - 2431 Thickness effects on properties of GalnNAs quantum dots grown by molecular-beam epitaxy with nitrogen plasma source
Yew KC, Yoon SF, Sun ZZ
2432 - 2440 Etching mechanisms of low-k SIOCH and selectivity to SiCH and SiO2 in fluorocarbon based plasmas
Posseme N, Chevolleau T, Joubert O, Vallier L
2441 - 2447 Electrical properties of SiO2 films with embedded nanoparticles formed by SiH4/O-2 chemical vapor deposition
Rassel RM, Kim T, Shen Z, Campbell SA, McMurry PH
2448 - 2454 Reduction of ultraviolet-radiation damage in SiO2 using pulse-time-modulated plasma and its application to charge coupled 44 device image sensor processes
Okigawa M, Ishikawa Y, Samukawa S
2455 - 2460 Etching of 4H-SIC in a NF3/CH4 inductively coupled plasma
Kim B, Lee SY, Lee BT
2461 - 2465 Ab initio calculations of field emission from ultrathin Si(100) films
Gohda Y, Watanabe S
2466 - 2470 Evaluation of the cleanliness of the ion-assisted Mo-Si deposition process for extreme ultraviolet lithography
Hau-Riege SP, Mirkarimi PB, Walton CC, Sperry V, Larson C
2471 - 2477 Comparative hydrogen sensing performances of Pd- and Pt-InGaP metal-oxide-semiconductor Schottky diodes
Tsai YY, Lin KW, Chen HI, Lu CT, Chuang HM, Chen CY, Liu WC
2478 - 2481 Patterning of gold film on muscovite mica by using a helium-metastable atom beam and an octanethiol self-assembled monolayer
Ju X, Kurahashi M, Suzuki T, Yamauchi Y
2482 - 2486 Mitigation of residual film stress deformation in multilayer microelectromechanical systems cantilever devices
Pulskamp JS, Wickenden A, Polcawich R, Piekarski B, Dubey M, Smith G
2487 - 2491 Comparison of dry etching of AlGaAs and InGaP in a planar inductively coupled BCl3 plasma
Baek IK, Lim WT, Lee JW, Jeon MH, Cho GS, Pearton SJ
2492 - 2495 SiC/Si-dots multilayer structures formed by supersonic free jets CH3SiH3 and Si3H8
Ikoma Y, Ohtani R, Matsui N, Motooka T
2496 - 2499 Fabrication of subwavelength surface structures combining self-assembled masking layer with plasma etching techniques
Oesterschulze E, Georgiev G, Muller-Wiegand M, Georgieva A, Ludolph K
2500 - 2505 Proton implantation effects on electrical and optical properties of undoped AlGaN with high Al mole fraction
Polyakov AY, Smirnov NB, Govorkov AV, Pashkova NV, Pearton SJ, Zavada JM, Wilson RG
2506 - 2511 Effect of bismuth oxide as a buffer layer on metal-lanthanum-substituted bismuth titanate-insulator-semiconductor structures
Kang SW, Rhee SW
2512 - 2516 Effects of substrate heating and biasing on nanostructural evolution of nonepitaxially grown TiN nanofilms
Li TQ, Noda S, Okada F, Komiyama H
2517 - 2523 Measurement of semi-isolated polysilicon gate structure with the optical critical dimension technique
Shivaprasad D, Hu JT, Tabet M, Hoobler R, Mui D, Liu W
2524 - 2529 Effects of temperature and HCl flow on the SiGe growth kinetics in reduced pressure-chemical vapor deposition
Hartmann JM, Loup V, Rolland G, Semeria MN
2530 - 2539 Technique for preventing stiction and notching effect on silicon-on-insulator microstructure
Li J, Zhang QX, Liu AQ, Goh WL, Ahn J
2540 - 2544 Improved productivity on diamond-like carbon coating optical disk stamper
Ueng HY, Guo CT
2545 - 2549 Smooth surface glass etching by deep reactive ion etching with SF6 and Xe gases
Li L, Abe T, Esashi M
2550 - 2554 Diffusion barrier properties of very thin TAN with high nitrogen concentration
Rossnagel SM, Kim H
2555 - 2557 Investigation of InGaP/GaAs heterojunction bipolar transistor with doping graded base
Chen YW, Hsu WC, Hsu RT, Wu YH, Chen YJ, Lin YS
2558 - 2563 Deep level defects and doping in high Al mole fraction AlGaN
Bradley ST, Goss SH, Brillson LJ, Hwang J, Schaff WJ
2564 - 2568 Vertically aligned carbon nanotubes grown by plasma enhanced chemical vapor deposition
Sato H, Tagegawa H, Saito Y
2569 - 2575 Investigation of substrate rotation at glancing-incidence on thin-film morphology
Dick B, Brett MJ, Smy T
2576 - 2583 Molybdenum/pure aluminum gate bus line defect reduction for high-resolution thin film transistor liquid crystal displays
Tsujimura T, Makita A
2584 - 2589 Structure, hardness, and elastic modulus of Pd/Ti nanostructured multilayer films
Xu JH, Kamiko M, Sawada H, Zhou YM, Yamamoto R, Yu LH, Kojima I
2590 - 2598 Water-in-carbon dioxide microemulsions for removing post-etch residues from patterned porous low-k dielectrics
Zhang XG, Pham JQ, Martinez HJ, Wolf PJ, Green PF, Johnston KP
2599 - 2601 Fabrication of nickel oxide nanostructures by atomic force microscope nano-oxidation and wet etching
Hsu JH, Lai HW, Lin HN, Chuang CC, Huang JH
2602 - 2604 n-type doping characteristics of O-implanted GaN
Nakano Y, Kachi T, Jimbo T
2605 - 2606 Comments on "Analysis of the I- V characteristics of Al/4H-SiC Schottky diodes" by J. Zhang and W. R. Harrell [J. Vac. Sci. Technol. B 21, 872 (2003)]
van Rheenen AD
2607 - 2607 Reply to: "Comments on'Analysis of the I-V characteristics of Al/4H-SiC Schottky diodes'"
Zhang J, Harrell WR
2608 - 2611 Fabrication of an infrared antenna-coupled microbolometer linear array using chrome as a mask
Gritz MA, Puscasu I, Spencer D, Boreman GD
2623 - 2623 An international journal devoted to Microelectronics and Nanometer Structures - Processing, measurement, and phenomena - Preface
Schattenburg ML
2624 - 2631 Imprint lithography for integrated circuit fabrication
Resnick DJ, Dauksher WJ, Mancini D, Nordquist KJ, Bailey TC, Johnson S, Stacey N, Ekerdt JG, Willson CG, Sreenivasan SV, Schumaker N
2632 - 2637 Why optical lithography will live forever
Brunner TA
2638 - 2644 Electron beam induced conductivity in poly(methylmethacrylate) and SiO2 thin films
Bai M, Pease RFW, Meisburger D
2645 - 2649 Complementary exposure of 70 nm SoC devices in electron projection lithography
Yamashita H, Amemiya I, Takeuchi K, Masaoka H, Takahashi K, Ikeda A, Kuroki Y, Yamabe M
2650 - 2656 Nanometer-level stitching in raster-scanning electron-beam lithography using spatial-phase locking
Hastings JT, Zhang F, Smith HI
2657 - 2662 Wafer heating analysis for electron-beam projection lithography
Chang J, Nellis GF, Engelstad RL, Lovell EG, Sogard MR
2663 - 2667 High-performance proximity effect correction for sub-70 nm design rule system on chip devices in 100 kV electron projection lithography
Ogino K, Hoshino H, Machida Y, Osawa M, Arimoto H, Takahashi K, Yamashita H
2668 - 2671 Initial results of a 50 kV electron beam writer EBM-4000 for a 90 nm node photomask
Murooka K, Hattori K, Iizuka O
2672 - 2679 Dose control for fabrication of grayscale structures using a single step electron-beam lithographic process
Hu F, Lee SY
2680 - 2685 Correcting deviations in the shape of projected images in the electron beam block exposure column
Yamada A, Yabe T
2686 - 2690 First dynamic exposure results from an electron projection lithography tool
Suzuki K, Fujiwara T, Kojima S, Hirayanagi N, Yahiro T, Udagawa J, Shimizu S, Yamamoto H, Suzuki M, Takekoshi H, Fukui S, Hamashima M, Ikeda J, Okino T, Shimizu H, Takahashi S, Yamada A, Umemoto T, Katagiri S, Ohkubo Y, Shimoda T, Hirose K, Tanida T, Watanabe Y, Kaminaga T, Kohama Y, Mori F, Takemoto S, Yoshioka T, Hirose H, Morita K, Hada K, Kawata S, Sato T, Sato Y, Tokunaga M, Okamoto K, Kakizaki Y, Miura T
2691 - 2696 Thermal analysis of projection electron beam lithography using complementary mask exposures
Babin S, Kuzmin I, Yamashita H, Yamabe M
2697 - 2700 Printing-based performance analysis of the engineering test stand set-2 optic using a synchrotron exposure station with variable sigma
Naulleau P, Goldberg KA, Anderson EH, Bokor J, Harteneck B, Jackson K, Olynick D, Salmassi F, Baker S, Mirkarimi P, Spiller E, Walton C, O'Connell D, Yan PY, Zhang GJ
2701 - 2705 Effect of incident angle of off-axis illumination on pattern printability in extreme ultraviolet lithography
Sugawara M, Chiba A, Nishiyama I
2706 - 2710 Preparations for extreme ultraviolet interferometry of the 0.3 numerical aperture Micro Exposure Tool optic
Goldberg KA, Naulleau PP, Denham PE, Rekawa SB, Jackson KH, Liddle JA, Harteneck B, Gullikson E, Anderson EH
2711 - 2714 Focused ion beam created periodic structures on tapered optical fibers
Hodzic V, Orloff J, Davis C
2715 - 2719 Development of void-free focused ion beam-assisted metal deposition process for subhalf-micrometer high aspect ratio vias
Ray V, Antoniou N, Bassom N, Krechmer A, Saxonis A
2720 - 2723 Formation of a few nanometer wide holes in membranes with a dual beam focused ion beam system
Schenkel T, Radmilovic V, Stach EA, Park SJ, Persaud A
2724 - 2727 Resolution improvement for a maskless microion beam reduction lithography system
Jiang XM, Ji Q, Ji LL, Chang A, Leung KN
2728 - 2731 Focused ion beam-induced fabrication of tungsten structures
Ishida M, Fujita J, Ichihashi T, Ochiai Y, Kaito T, Matsui S
2732 - 2736 Development of three-dimensional pattern-generating system for focused-ion-beam chemical-vapor deposition
Hoshino T, Watanabe K, Kometani R, Morita T, Kanda K, Haruyama Y, Kaito T, Fujita J, Ishida M, Ochiai Y, Matsui S
2737 - 2741 Free-space-wiring fabrication in nano-space by focused-ion-beam chemical vapor deposition
Morita T, Kometani R, Watanabe K, Kanda K, Haruyama Y, Hoshino T, Kondo K, Kaito T, Ichihashi T, Fujita J, Ishida M, Ochiai Y, Tajima T, Matsui S
2742 - 2748 Imprinting polymer film on patterned substrates
Tan L, Kong YP, Bao LR, Huang XD, Guo LJ, Pang SW, Yee AF
2749 - 2754 Polymer inking as a micro- and nanopatterning technique
Bao LR, Tan L, Huang XD, Kong YP, Guo LJ, Pang SW, Yee AF
2755 - 2759 Fabrication of sawtooth diffraction gratings using nanoimprint lithography
Chang CH, Heilmann RK, Fleming RC, Carter J, Murphy E, Bailey TC, Ekerdt JG, Frankel RD, Voisin R
2760 - 2764 Large scale ultraviolet-based nanoimprint lithography
Vratzov B, Fuchs A, Lemme M, Henschel W, Kurz H
2765 - 2770 Defect analysis in thermal nanoimprint lithography
Hirai Y, Yoshida S, Takagi N
2771 - 2776 Step and flash imprint lithography template characterization, from an etch perspective
Dauksher WJ, Mancini DP, Nordquist KJ, Resnick DJ, Standfast DL, Convey D, Wei Y
2777 - 2782 Study on optical intensity distribution in photocuring nanoimprint lithography
Hirai Y, Kikuta H, Sanou T
2783 - 2787 Nanoimprint lithography process optimization for the fabrication of high electron mobility transistors
Macintyre DS, Chen Y, Gourlay D, Boyd E, Moran D, Cao X, Elgaid K, Stanley CR, Thayne I, Thoms S
2788 - 2793 Simulating fluid flow characteristics during the scanning process for immersion lithography
Wei A, Abdo A, Nellis G, Engelstad R, Chang J, Lovell E, Beckman W
2794 - 2799 Extending optics to 50 nm and beyond with immersion lithography
Switkes M, Kunz RR, Rothschild M, Sinta RF, Yeung M, Baek SY
2800 - 2805 Linear phase ring illumination monitor
McIntyre G, Neureuther AR
2806 - 2809 Correction for local flare effects approximated with double Gaussian profile in ArF lithography
Osawa M, Yao T, Aoyama H, Ogino K, Hoshino H, Machida Y, Asai S, Arimoto H
2810 - 2814 The case for diffractive optics in maskless lithography
Gil D, Menon R, Smith HI
2815 - 2820 Algebraic model for the printability of nonplanar phase defects
Lam M, Neureuther A
2821 - 2825 Extreme expansion of proximity gap by double exposures using enlarged pattern masks for line and space pattern formation in x-ray lithography (evolution of exposure method to symmetric illumination)
Toyota E, Washio M, Watanabe H, Sumitani H
2826 - 2829 Photoelectronic analog-to-digital conversion using miniature electron optics: Basic design considerations
Pease RF, Ioakeimidi K, Aldana R, Leheny R
2830 - 2833 Effect of oxygen adsorption on the efficiency of magnesium photocathodes
Yuan Q, Baum AW, Pease RFW, Pianetta P
2834 - 2838 Distributed axis electron beam technology for maskless lithography and defect inspection
Pickard DS, Groves TR, Meisburger WD, Crane T, Pease RF
2839 - 2842 High power 121.6 nm radiation source
Yan JX, Gupta MC
2843 - 2847 Laser-produced-plasma light source development for extreme ultraviolet lithography
Komori H, Abe T, Suganuma T, Imai Y, Sugimoto Y, Someya H, Hoshino H, Soumagne G, Takabayashi Y, Mizoguchi H, Endo A, Toyoda K, Horiike Y
2848 - 2851 Room-temperature single-electron charging effects in an ambipolar single-walled carbon nanotube grown by chemical vapor deposition
Amlani I, Zhang R, Tresek J, Tsui RK
2852 - 2855 Fabrication and characterization of a SiGe double quantum dot structure
Qin H, Yasin S, Williams DA
2856 - 2859 Transistor structures for the study of scaling in carbon nanotubes
Wind SJ, Radosavljevic M, Appenzeller J, Avouris P
2860 - 2864 Single electron memory devices: Toward background charge insensitive operation
Yadavalli KK, Orlov AO, Snider GL, Korotkov AN
2865 - 2868 Method for testing electronic self-assembled monolayers using a flip-chip arrangement
Spector SJ, Wynn CM, Switkes M, Kunz RR, Deneault SJ, Rothschild M
2869 - 2873 Influence of oxidation temperature on Si-single electron transistor characteristics
Namatsu H, Watanabe Y, Yamazaki K, Yamaguchi T, Nagase M, Ono Y
2874 - 2877 Fabrication of large area subwavelength antireflection structures on Si using trilayer resist nanoimprint lithography and liftoff
Yu ZN, Gao H, Wu W, Ge HX, Chou SY
2878 - 2882 Metallic tunable photonic crystal filter for terahertz frequencies
Drysdale TD, Mills G, Ferguson SM, Blaikie RJ, Cumming DRS
2883 - 2887 Patterning of circular structure arrays with interference lithography
Solak HH, David C
2888 - 2891 Characterization of sidewall, roughness of InP/InGaAsP etched using inductively coupled plasma for low loss optical waveguide applications
Bae JW, Zhao W, Jang JH, Adesida I, Lepore A, Kwakernaak M, Abeles JH
2892 - 2896 Evolution of line-edge roughness during fabrication of high-index-contrast microphotonic devices
Barwicz T, Smith HI
2897 - 2902 Fabrication of three-dimensional mode converters for silicon-based integrated optics
Fritze M, Knecht J, Bozler C, Keast C, Fijol J, Jacobson S, Keating P, LeBlanc J, Fike E, Kessler B, Frish M, Manolatou C
2903 - 2906 3D structuring of multilayer suspended membranes including 2D photonic crystal structures
Leclercq JL, Rojo-Romeo P, Seassal C, Mouette J, Letartre X, Viktorovitch P
2907 - 2911 Fabrication methods for a quantum cascade photonic crystal surface emitting laser
Tennant DM, Colombelli R, Srinivasan K, Troccoli M, Painter O, Gmachi C, Capasso F, Sergent AM, Sivco DL, Cho AY
2912 - 2917 X-ray and electron-beam lithography of three-dimensional array structures for photonics
Romanato F, Cojoc D, Di Fabrizio E, Galli M, Bajoni D
2918 - 2921 Fabrication of high Q square-lattice photonic crystal microcavities
Hennessy K, Reese C, Badolato A, Wang CF, Imamoglu A, Petroff PM, Hu E
2922 - 2925 Pattern and probe-based aberration monitors for the human eye
Robins G, Neureuther A
2926 - 2930 Development of improved photosensitive polycarbonate systems for the fabrication of microfluidic devices
White CE, Henderson CL
2931 - 2936 Chemical recognition based on micromachined silicon cantilever array
Abedinov N, Popov C, Yordanov Z, Ivanov T, Gotszalk T, Grabiec P, Kulisch W, Rangelow IW, Filenko D, Shirshov Y
2937 - 2940 Metal nanogap devices fabricated by conventional photolithography and their application to deoxyribose nucleic acid analysis
Hashioka S, Saito M, Tamiya E, Matsumura H
2941 - 2945 Fabrication of an integrated nanofluidic chip using interferometric lithography
O'Brien MJ, Bisong P, Ista LK, Rabinovich EM, Garcia AL, Sibbett SS, Lopez GP, Brueck SRJ
2946 - 2950 DNA microarrays: An imaging study
Kim C, Li M, Lowe A, Venkataramaiah N, Richmond K, Kaysen J, Cerrina F
2951 - 2955 Sublithographic nanofabrication technology for nanocatalysts and DNA chips
Choi YK, Lee JS, Zhu J, Somorjai GA, Lee LP, Bokor J
2956 - 2960 Fabrication of high-numerical-aperture phase zone plates with a single lithography exposure and no etching
Gil D, Menon R, Smith HI
2961 - 2965 Molecular transfer lithography for pseudomaskless high-throughput aligned nanolithography
Schaper CD
2966 - 2969 Nanoelectrode lithography and multiple patterning
Yokoo A
2970 - 2974 Precision microcomb design and fabrication for x-ray optics assembly
Sun YX, Heilmann RK, Chen CG, Forest CR, Schattenburg ML
2975 - 2979 Fabrication of 12 nm electrically variable shallow junction metal-oxide-semiconductor field effect transistors on silicon on insulator substrates
Henschel W, Wahlbrink T, Geogriev YM, Lemme M, Mollenhauer T, Vratzov B, Fuchs A, Kurz H
2980 - 2984 Nanoscale topography control for the fabrication of advanced diffractive optics
Liddle JA, Salmassi F, Naulleau PP, Gullikson EM
2985 - 2989 Transient temperature measurements of resist heating using nanothermocouples
Chu DC, Wong WK, Goodson KE, Pease RFW
2990 - 2993 Carbon nanopillar laterally grown with electron beam-induced chemical vapor deposition
Fujita J, Ishida M, Ichihashi T, Ochiai Y, Kaito T, Matsui S
2994 - 2997 Nonlithographic approach to nanostructure fabrication using a scanned electrospinning source
Czaplewski D, Kameoka J, Craighead HG
2998 - 3001 Fabrication of SiC microelectromechanical systems using one-step dry etching
Jiang LD, Cheung R, Hassan M, Harris AJ, Burdess JS, Zoman CA, Mehregany M
3002 - 3006 Nanoscopic magnetic field sensor based on extraordinary magnetoresistance
Solin SA, Hines DR, Rowe ACH, Tsai JS, Pashkin YA
3007 - 3011 Fabrication of quasi-three-dimensional micro/nanomechanical components using electron beam cross-linked poly (methyl methacrylate) resist
Teh WH, Smith CG
3012 - 3016 Fabrication of ultrashort T gates using a PMMA/LOR/UVIII resist stack
Chen Y, Macintyre DS, Cao X, Boyd E, Moran D, McLelland H, Holland M, Stanley CR, Thayne I, Thoms S
3017 - 3020 Fabrication of sub-50 nm critical feature for magnetic recording device using electron-beam lithography
Yang XM, Eckert A, Mountfield K, Gentile H, Seiler C, Brankovic S, Johns E
3021 - 3026 Damage-free extreme ultraviolet mask with TaBN absorber
Shoki T, Kinoshita T, Sakaya N, Hosoya M, Ohkubo R, Usui Y, Kobayshi H, Nagarekawa O
3027 - 3031 Predicting critical dimension uniformity in advanced electron-beam projection lithography masks
Cotte EP, Mikkelson AR, Matesanz O, Engelstad RL, Lovell EG, Reu PL
3032 - 3036 Fabrication of a continuous diamondlike carbon membrane mask for electron projection lithography
Amemiya I, Yamashita H, Nakatsuka S, Tsukahara M, Nagarekawa O
3037 - 3040 Predicting the thermomechanical distortion of extreme ultraviolet lithography reticles for preproduction and production exposure tools
Abdo A, La Fontaine B, Egnelstad R
3041 - 3045 Mask process proximity correction for next-generation mask fabrication
Kim SH, Choi SW, Sohn JM, Park JR
3046 - 3051 Dynamic model for predicting in-plane displacement of extreme ultraviolet mask due to chucking
Chiba A, Sugawara M, Nishiyama I
3052 - 3056 Effects of chrome pattern characteristics on image placement due to thermomechanical distortion of optical reticles during exposure
Abdo A, Capodieci L, Lalovic I, Engelstad R
3057 - 3061 Fabry-Perot structures for attenuated phase-shifting mask application in ArF and F-2 lithography
Chen HL, Wu HS, Lee CC, Ko FH, Fan W, Hsieh CI
3062 - 3066 Ultrathin TiO2 amorphous films for high transmittance APSM blanks at 157 and 193 nm wavelength simultaneously
Lai FD, Chang CM, Wang LA, Yih TS
3067 - 3071 Testing new chemistries for mask repair with focused ion beam gas assisted etching
Stanishevsky A, Edinger K, Orloff J, Melngailis J, Stewart D, Williams A, Clark R
3072 - 3077 Benchmarking stencil reticles for electron projection lithography
Wood OR, Trybula WJ, Lercel MJ, Thiel CW, Lawliss MJ, Edinger K, Stanishevsky A, Shimizu S, Kawata S
3078 - 3081 Phase masks working in 157 nm wavelength fabricated by immersion interference photolithography
Cheng WC, Wang LA
3082 - 3085 Adaptive membrane masks
Zhuang X, Conkerton D, Lal A, Jiang L, Feldman M, O'Reilly T, Smith H
3086 - 3090 Extreme ultraviolet mask fabrication with high inspection contrast TaSiNx absorber stack
Wasson JR, Weisbrod EJ, Lu B, Mangat PJS, Dauksher WJ, Resnick DJ, Sohn J, Engelstad R, Pettibone D
3091 - 3096 Extreme ultraviolet lithography mask flatness and electrostatic chucking analysis
Mikkelson A, Engelstad R, Lovell E, Blaedel K, Claudet A
3097 - 3101 Nanometer-level repeatable metrology using the nanoruler
Konkola PT, Chen CG, Heilmann RK, Joo CM, Montoya JC, Chang CH, Schattenburg ML
3102 - 3107 Micromachined atomic force microscopy sensor with integrated piezoresistive, sensor and thermal bimorph actuator for high-speed tapping-mode atomic force microscopy phase-imaging in higher eigenmodes
Pedrak R, Ivanov T, Ivanova K, Gotszalk T, Abedinov N, Rangelow IW, Edinger K, Tomerov E, Schenkel T, Hudek P
3108 - 3111 Demonstration of 20 nm half-pitch spatial resolution with soft x-ray microscopy
Chao W, Anderson EH, Denbeaux G, Harteneck B, Pearson AL, Olynick D, Salmassi F, Song C, Attwood D
3112 - 3115 Interferometric-spatial-phase imaging for six-axis mask control
Moon EE, Chen L, Everett PN, Mondol MK, Smith HI
3116 - 3119 Advances in nanolithography using molecular rulers
Anderson ME, Tan LP, Tanaka H, Mihok M, Lee H, Horn MW, Weiss PS
3120 - 3123 Approach to critical dimension error budget analysis and specification estimation by the Monte Carlo method
Lee SW, Yeo GS, Lee JH, Cho HK, Han WS
3124 - 3130 Line edge roughness of sub-100 nm dense and isolated features: Experimental study
Ma YS, Tsvid G, Cerrina F
3131 - 3135 Observations and measurements of photomasks using an electron beam with energy of 50 keV or higher
Mizuno F, Ohfuji T, Amano T
3136 - 3139 Picometer resolution measurement of the frequency spectrum of a periodic structure written by a MEBES electron beam pattern generator
Jourlin Y, Tishchenko AV, Pedri C, Parriaux O, Zanzal A, Unruh J
3140 - 3143 Influence of gate patterning on line edge roughness
Leunissen LHA, Jonckheere R, Ronse K, Derksen GB
3144 - 3148 Fabrication of trilayer resist using photocuring-imprint lithography
Kim SH, Hiroshima H, Inoue S, Kurashima Y, Komuro M
3149 - 3152 Relation between spatial resolution and reaction mechanism of chemically amplified resists for electron beam lithography
Kozawa T, Saeki A, Nakano A, Yoshida Y, Tagawa S
3153 - 3156 Near edge x-ray absorption fine structure measurements of the interface between bottom antireflective coatings and a model deprotected photoresist
Jablonski EL, Sambasivan S, Lin EK, Fischer DA, Devadoss C, Puligadda R
3157 - 3161 Electron beam lithography process using radiation sensitive carboxylate metalorganic precursors
Jeyakumar A, Henderson CL, Roman P, Suh S
3162 - 3165 Near edge x-ray absorption fine structure measurements of surface segregation in 157 nm photoresist blends
Jablonski EL, Prabhu VM, Sambasivan S, Lin EK, Fischer DA, Goldfarb DL, Angelopoulos M, Ito H
3166 - 3171 Stochastic modeling of high energy lithographies
Han G, Khan M, Cerrina F
3172 - 3176 Statistical limitations of printing 50 and 80 nm contact holes by EUV lithography
Gallatin GM, Houle FA, Cobb JL
3177 - 3180 Monitor and control for development technology
Ito S, Hayasaki K
3181 - 3185 High transparency resists for 157 nm lithography
Itani T, Ishikawa S, Irie S, Hagiwara T