화학공학소재연구정보센터

Journal of Vacuum Science & Technology B

Journal of Vacuum Science & Technology B, Vol.17, No.6 Entire volume, number list
ISSN: 1071-1023 (Print) 

In this Issue (206 articles)

2397 - 2410 Fluorinated amorphous carbon films for low permittivity interlevel dielectrics
Theil JA
2411 - 2416 Scanning tunneling microscopy of passivated Au nanocrystals immobilized on Au(111) surface
Harrell LE, Bigioni TP, Cullen WG, Whetten RL, First PN
2417 - 2422 Field induced local oxidation of Ti and Ti/Au structures by an atomic force microscope with diamond coated tips
Vullers RJM, Ahlskog M, Cannaerts M, Van Haesendonck C
2423 - 2430 In situ electrochemical atomic force microscopy study on Au(100)/Cd interface in sulfuric acid solution
Vidu R, Hara S
2431 - 2438 Vapor-deposited gold film formation on highly oriented pyrolitic graphite. A transition from pseudo-two-dimensional branched island growth to continuous film formation
Blum B, Salvarezza RC, Arvia AJ
2439 - 2442 Surface superstructure of Arc(+)-bombarded highly oriented pyrolytic graphite during recrystallization
An B, Fukuyama S, Yokogawa K, Yoshimura M
2443 - 2446 Minimizing damage during focused-ion-beam induced desorption of hydrogen
Fuhrmann H, Candel A, Dobeli M, Muhle R
2447 - 2451 Atomic force microscopy of the topochemical photopolymerization of diolefin crystals
Zeng QD, Wang C, Bai CL, Li Y, Yan XJ
2452 - 2456 Scratching on polystyrene thin film without bumps using atomic force microscopy
Iwata F, Matsumoto T, Ogawa R, Sasaki A
2457 - 2461 Near-field polarization states and optical images in transmission mode through different surface structures
Wang S
2462 - 2466 Nanometric aperture arrays fabricated by wet and dry etching of silicon for near-field optical storage application
Lee MB, Atoda N, Tsutsui K, Ohtsu M
2467 - 2470 Ultrahigh density data storage in an organic film with a scanning tunneling microscope
Hou SM, Zhao XY, Yang C, Xue ZQ, Yang WJ, Chen HY
2471 - 2475 Fabrication and magneto-transport and SQUID measurements of submicron spin-valve structures
Park YD, Temple D, Jung KB, Kumar D, Holloway PH, Pearton SJ
2476 - 2482 Nanoscale elemental imaging of semiconductor materials using focused ion beam secondary ion mass spectrometry
Stevie FA, Downey SW, Brown SR, Shofner TL, Decker MA, Dingle T, Christman L
2483 - 2487 Direct current and pulsed operation of contaminated liquid metal ion sources
Olson RT, Panitz JA
2488 - 2498 Comparison of metrology methods for quantifying the line edge roughness of patterned features
Nelson C, Palmateer SC, Forte AR, Lyszczarz TM
2499 - 2506 Focus drilling and attenuated phase shift mask for subwavelength contact window printing using positive and negative resists
Pau S, Trimble LE, Blatchford JW, Watson GP, Frackoviak J, Cirelli R, Nalamasu O
2507 - 2511 Electron beam lithography process for T- and Gamma-shaped gate fabrication using chemically amplified DUV resists and PMMA
Chen Y, Macintyre D, Thoms S
2512 - 2518 Low-energy electron-beam effects on poly(methyl methacrylate) resist films
Bermudez VM
2519 - 2523 Application of a thin-resist process for KrF imaging to 130 nm device fabrication
Azuma T, Chiba K, Kawamura D, Miyoshi S, Ozaki T, Kageyama H
2524 - 2529 Improvement of InGaP/GaAs heterointerface quality by controlling AsH3 flow conditions
Fukai YK, Hyuga F, Nittono T, Watanabe K, Sugahara H
2530 - 2535 Influence of growth temperature of InAsP/InP strained multiple quantum wells grown by metalorganic chemical vapor deposition
Lee CY, Shiao HP, Wu MC, Chen CW
2536 - 2539 Quantum mechanical funneling through a biased double-cascaded barrier
Mohaidat JM, Bitar RN
2540 - 2544 Temperature-graded InAlAs buffers applied on InGaAs/InAlAs/InP high electron mobility transistor heterostructures
Arbiol J, Peiro F, Cornet A, Michelakis K, Georgakilas A
2545 - 2552 Depth-resolved electron-excited nanoscale-luminescence spectroscopy studies of defects near GaN/InGaN/GaN quantum wells
Levin TM, Jessen GH, Ponce FA, Brillson LJ
2553 - 2558 Correlation between agglomeration of a thin film and reflow filling in a contact hole for sputtered Al films
Shingubara S, Kotani H, Sakaue H, Nishiyama F, Takahagi T
2559 - 2564 Low resistance small metal contact for high temperature application
Kim JS, Kang WT, Lee WS, Yoo BY, Shin YC, Kim TH, Lee KY, Park YJ, Park JW
2565 - 2569 Microstructure of concentric ring patterns on Ta/Si(100)
Sheng HY, Fujita D, Dong ZC, Okamoto H, Ohgi T, Nejoh H
2570 - 2574 Characterization of CoSi2 formation by x-ray photoelectron spectroscopy
Zhao J, Jones CM, Poirier DM
2575 - 2580 Tribological behavior of TiC/DLC multilayers prepared on Ti-6Al-4V alloy by plasma-based ion implantation
Ji HB, Xia LF, Ma XX, Sun Y, Sun MR
2581 - 2583 Novel process integration for reduction of subquarter-micron contact resistance
Ku V
2584 - 2595 Experimental and analytical study of seed layer resistance for copper damascene electroplating
Broadbent EK, McInerney EJ, Gochberg LA, Jackson RL
2596 - 2599 Effect of material variations on performance of double-recessed gate power pseudomorphic high electron mobility transistors in monolithic microwave and millimeter wave integrated circuit applications
Hussain T, Chu P, Wen CP, Circle M, Gomez A, Midford T, Cisco T
2600 - 2602 Direct epitaxial growth of submicron-patterned SiC structures on Si(001)
Yi GC, Eres G, Lowndes DH
2603 - 2609 Finite-element calculations of mechanical stresses induced by water adsorption/desorption in silicate glasses
Hoffmann T, LeDuc P, Senez V
2610 - 2621 Monolayer-level controlled incorporation of nitrogen in ultrathin gate dielectrics using remote plasma processing: Formation of stacked "N-O-N" gate dielectrics
Niimi H, Lucovsky G
2622 - 2625 Buried heterostructure complex-coupled distributed feedback 1.55 mu m lasers fabricated using dry etching processes and quaternary layer overgrowth
Soderstrom D, Lourdudoss S, Carlstrom CF, Anand S, Kahn M, Kamp M
2626 - 2629 Control of wet-etching thickness in the vertical cavity surface emitting laser structure by in situ laser reflectometry
Cho HK, Lee JY, Lee B, Baek JH, Han WS
2630 - 2637 Interferometry for end point prediction during plasma etching of various structures in complementary metal-oxide-semiconductor device fabrication
Layadi N, Molloy SJ, Esry TC, Lill T, Trevor J, Grimbergen MN, Chinn J
2638 - 2643 Abatement of perfluorocarbons with an inductively coupled plasma reactor
Liao MY, Wong K, McVittie JP, Saraswat KC
2644 - 2647 Selective plasma etching for contact holes using a fluorine-based chemistry with addition of N-2
Meijer PM, Roelofs MEF, Manders BS
2648 - 2650 Sheath expansion in a drifting, nonuniform plasma
Keidar M, Brown IG
2651 - 2652 Anomalous scanning tunneling microscopy images of GaAs(110) surfaces due to tip-induced band bending
Aloni S, Haase G
2653 - 2655 Polycarbonate surface modified by argon cluster ion beams
Zemek J, Yamada I, Takaoka G, Matsuo J
2656 - 2659 Quantitative surface area evaluation of rugged polycrystalline Si plate for dynamic random access memory capacitor by xenon adsorption
Yanazawa H, Futase T, Suzuki I
2660 - 2663 Trimethylamine: Novel source far low damage reactive ion beam etching of InP
Carlstrom CF, Anand S, Landgren G
2664 - 2666 Destructive abatement of CF4 and C2F6 via a plasma induced reaction with CaO
Delattre JL, Friedman TL, Stacy AM
2667 - 2670 Formation of low resistance Pt ohmic contacts to p-type GaN using two-step surface treatment
Jang JS, Park SJ, Seong TY
2671 - 2674 Fabrication of submicrometer regular arrays of pillars and helices
Malac M, Egerton RF, Brett MJ, Dick B
2675 - 2678 Effect of An overlayer on Ni contacts to p-type GaN
Kim JK, Lee JL, Lee JW, Park YJ, Kim T
2690 - 2691 Papers from the 43rd International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Preface
Wolfe J
2692 - 2697 Sub-100 nm metrology using interferometrically produced fiducials
Schattenburg ML, Chen C, Everett PN, Ferrera J, Konkola P, Smith HI
2698 - 2702 Novel mask-wafer gap measurement scheme with nanometer-level detectivity
Moon EE, Everett PN, Meinhold MW, Mondol MK, Smith HI
2703 - 2706 A holographic phase-shifting interferometer technique to measure in-plane distortion
Lim MH, Ferrera J, Pipe KP, Smith HI
2707 - 2713 High-throughput, high-spatial-frequency measurement of critical dimension variations using memory circuits as electrical test structures
Ouyang X, Deeter TL, Berglund CN, McCord MA, Pease RFW
2714 - 2718 Thin film stress mapping using an integrated sensor
Schlax MP, Jachim AF, Engelsad RL, Lovell EG, Liddle JA, Novembre AE
2719 - 2722 Mechanical characterization of electron-beam resist using micromachined structures
Que L, Gianchandani YB, Cerrina F
2723 - 2729 Correlation of atomic force microscopy sidewall roughness measurements with scanning electron microscopy line-edge roughness measurements on chemically amplified resists exposed by x-ray lithography
Reynolds GW, Taylor JW
2730 - 2733 Control of localized access to circuitry through the backside using focused ion beam technology
Antoniou N, Thompson M, Salen J, Casey D, Goruganthu RR, Ring R, Birdsley J, Gilfeather G
2734 - 2739 Fabrication of two-dimensional photonic crystal waveguides for 1.5 mu m in silicon by deep anisotropic dry etching
Zijlstra T, van der Drift E, de Dood MJA, Snoeks E, Polman A
2740 - 2744 High-aspect-ratio nanophotonic components fabricated by Cl-2 reactive ion beam etching
Zubrzycki WJ, Vawter GA, Wendt JR
2745 - 2749 Cl-2 plasma passivation of etch induced damage in GaAs and InGaAs with an inductively coupled plasma source
Berg EW, Pang SW
2750 - 2754 Inductively coupled plasma reactive ion etching of AlxGa1-xN for application in laser facet formation
Khan FA, Zhou L, Ping AT, Adesida I
2755 - 2758 Cl-2 reactive ion etching for gate recessing of AlGaN/GaN field-effect transistors
Chen CH, Keller S, Haberer ED, Zhang LD, DenBaars SP, Hu EL, Mishra UK, Wu YF
2759 - 2763 High resolution reactive ion etching of GaN and etch-induced effects
Cheung R, Reeves RJ, Rong B, Brown SA, Fakkeldij EJM, van der Drift E, Kamp M
2764 - 2767 NANOJET: Nanostructuring via a downstream plasmajet
Voigt J, Reinker B, Rangelow IW, Mariotto G, Shvets I, Guethner P, Loschner H
2768 - 2771 Dry etching with gas chopping without rippled sidewalls
Volland B, Hudek FS, Heerlein H, Rangelow IW
2772 - 2775 Multilayer hexamethyldisiloxane film as bottom antireflective coating for ArF lithography
Wang LA, Chen HL
2776 - 2778 Plasma sources for electrons and ion beams
Leung KN
2779 - 2782 Characteristics of ion beams from a Penning source for focused ion beam applications
Guharay SK, Sokolovsky E, Orloff J
2783 - 2790 Maskless micro-ion-beam reduction lithography
Ngo VV, Barletta W, Gough R, Lee Y, Leung KN, Zahir N, Patterson D
2791 - 2794 Rare earth focused ion beam implantation utilizing Er and Pr liquid alloy ion sources
Chao LC, Lee BK, Chi CJ, Cheng J, Chyr I, Steckl AJ
2795 - 2798 Variable axis lens of mixed electrostatic and magnetic fields and its application in electron-beam lithography systems
Zhao Y, Khursheed A
2799 - 2802 Development of a projection imaging electron microscope with electrostatic lenses
Miyoshi M, Yamazaki Y, Nagai T, Nagahama I, Okumura K
2803 - 2807 Space-charge-induced aberrations
Winograd GI, Meisburger WD, Pease RFW
2808 - 2813 Theory of Coulomb scattering in particle beams using Markov's method
Groves TR
2814 - 2818 Thin-film gated photocathodes for electron-beam lithography
Pei Z, McCarthy J, Berglund CN, Chang TPH, Mankos M, Lee KY, Yu ML
2819 - 2822 Prototype negative electron affinity-based multibeam electron gun for lithography and microscopy
Baum A, Arcuni P, Aebi V, Presley S, Elder M
2823 - 2826 Measuring electron-beam landing angle in real time
Sturans MA, Hartley JG, Kendall RA
2827 - 2829 Design and implementation of a detector for on-axis electrons for enabling enhanced imaging of topographical structures
Munack H, Kogler W, Baumgarten H, Rubekohl C, Adamec P, Degenhardt R, Feuerbaum HP, Winkler D
2830 - 2835 Field size versus column shortness in high throughput electron beam lithography
Han L, Pease RFW, Meisburger WD, Winograd GI, McCord MA
2836 - 2839 Lateral pn-junctions as a novel electron defector for microcolumn systems
Fritz GS, Fresser HS, Prins FE, Kern DP
2840 - 2846 Projection reduction exposure with variable axis immersion lenses: Next generation lithography
Pfeiffer HC, Dhaliwal RS, Golladay SD, Doran SK, Gordon MS, Groves TR, Kendall RA, Lieberman JE, Petric PF, Pinckney DJ, Quickle RJ, Robinson CF, Rockrohr JD, Senesi JJ, Stickel W, Tressler EV, Tanimoto A, Yamaguchi T, Okamoto K, Suzuki K, Okino T, Kawata S, Morita K, Suziki SC, Shimizu H, Kojima S, Varnell G, Novak WT, Stumbo DP, Sogard M
2847 - 2850 PREVAIL: Theory of the proof of concept column electron optics
Stickel W, Langner GO
2851 - 2855 PREVAIL: Operation of the electron optics proof-of-concept system
Gordon MS, Lieberman JE, Petric PF, Robinson CF, Stickel W
2856 - 2859 High emittance source for the PREVAIL projection lithography system
Golladay SD, Kendall RA, Doran SK
2860 - 2863 Proximity effect correction by the GHOST method using a scattering stencil mask
Yamashita H, Nomura E, Manako S, Kobinata H, Nakajima K, Nozue H
2864 - 2867 Stencil reticle development for electron beam projection system
Kawata S, Katakura N, Takahashi S, Uchikawa K
2868 - 2872 Pattern displacement measurements for Si stencil reticles
Uchikawa K, Takahashi S, Katakura N, Oshino T, Kawata S, Yamaguchi T
2873 - 2877 Characteristics for negative and positive tone resists with direct write electron beam and SCALPEL exposure systems
Sato M, Ocala LE, Novembre AE, Ohmori K, Ishikawa K, Katsumata K, Nakayama T
2878 - 2882 Mechanical and thermal modeling of the SCALPEL mask
Martin CJ, Semke WH, Dicks GA, Engelstad RL, Lovell EG, Liddle JA, Novembre AE
2883 - 2887 Finite element analysis of SCALPEL wafer heating
Kim BY, Engelstad RL, Lovell EG, Stanton ST, Liddle JA, Gallatin GM
2888 - 2892 Determination of the possible magnitude of the charging effect in a SCALPEL mask membrane
Mkrtchyan MM, Gasparyan AS, Mkhoyan KA, Liddle JA, Novembre AE
2893 - 2896 Charging and discharging of electron beam resist films
Bai M, Pease RFW, Tanasa C, McCord MA, Pickard DS, Meisburger D
2897 - 2902 Low energy electron-beam proximity projection lithography: Discovery of a missing link
Utsumi T
2903 - 2906 New three dimensional simulator for low energy (similar to 1 keV) electron beam systems
Lee Y, Lee W, Chun K, Kim H
2907 - 2911 EB-X3: New electron-beam x-ray mask writer
Morosawa T, Saito K, Takeda Y, Kunioka T, Shimizu A, Kato J, Matsuda T, Kuriyama Y, Nakayama Y, Matsui Y
2912 - 2916 Electron optical system for the x-ray mask writer EB-X3
Saito K, Kato J, Shimizu A, Morita H, Matsuda T, Nakayama Y
2917 - 2920 XY stage driven by ultrasonic linear motors for the electron-beam x-ray mask writer EB-X3
Kunioka T, Takeda Y, Matsuda T, Shimazu N, Nakayama Y
2921 - 2926 Influence of the mask-scattered electrons in the cell-projection lithography
Kotera M, Yamaguchi K, Okagawa T, Matsuoka K, Kojima Y, Yamabe M
2927 - 2931 Raster shaped beam pattern generation
Rishton SA, Varner JK, Veneklasen LH, Boegli V, Sagle AL, Hofmann U, Kao H, Wang W
2932 - 2935 Chrome on glass mask writing at 75 kV with the IBM EL4+electron-beam system
Hartley JG, Groves TR
2936 - 2939 Reduction of long range fogging effect in a high acceleration voltage electron beam mask writing system
Ogasawara M, Shimomura N, Takamatsu J, Yoshitake S, Ooki K, Nakayamada N, Okabe H, Tojo T, Takigawa T
2940 - 2944 Fundamental performance of state-of-the-art proximity effect correction methods
Hofmann U, Crandall R, Johnson L
2945 - 2947 Contrast limitations in electron-beam lithography
Crandall R, Hofmann U, Lozes RL
2948 - 2952 New miniaturized tunneling-based gyro for inertial measurement applications
Kubena RL, Vickers-Kirby DJ, Joyce RJ, Stratton FP
2953 - 2956 Electric-field modified atomic beam holography
Fujita J, Kishimoto T, Mitake S, Shimizu F
2957 - 2960 Fabrication of a new broadband waveguide polarizer with a double-layer 190 nm period metal-gratings using nanoimprint lithography
Wang J, Schablitsky S, Yu ZN, Wu W, Chou SY
2961 - 2964 Large scale nanolithography using nanoimprint lithography
Heidari B, Maximov I, Sarwe EL, Montelius L
2965 - 2969 Patterning curved surfaces: Template generation by ion beam proximity lithography and relief transfer by step and flash imprint lithography
Ruchhoeft P, Colburn M, Choi B, Nounu H, Johnson S, Bailey T, Damle S, Stewart M, Ekerdt J, Sreenivasan SV, Wolfe JC, Willson CG
2970 - 2974 Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithography
Cardinale GF, Henderson CC, Goldsmith JEM, Mangat PJS, Cobb J, Hector SD
2975 - 2977 Extreme ultraviolet lithography at Carl Zeiss: Manufacturing and metrology of aspheric surfaces with angstrom accuracy
Handschuh H, Froschke J, Julich M, Mayer M, Weiser M, Seitz G
2978 - 2981 EUCLIDES: European EUVL program
Benschop JPH, van Dijsseldonk AJJ, Kaiser WM, Ockwell DC
2982 - 2986 Extreme ultraviolet interferometric measurements of diffraction-limited optics
Goldberg KA, Naulleau P, Bokor J
2987 - 2991 Interferometric at-wavelength flare characterization of extreme ultraviolet optical systems
Naulleau P, Goldberg KA, Gullikson EM, Bokor J
2992 - 2997 Image formation in extreme ultraviolet lithography and numerical aperture effects
Bollepalli SB, Khan M, Cerrina F
2998 - 3002 Peak and Integrated reflectivity, wavelength and gamma optimization of Mo/Si, and Mo/Be multilayer, multielement optics for extreme ultraviolet lithography
Stuik R, Louis E, Yakshin AE, Gorts PC, Maas ELG, Bijkerk F, Schmitz D, Scholze F, Ulm G, Haidl M
3003 - 3008 Technique for rapid at-wavelength inspection of extreme ultraviolet mask blanks
Spector SJ, White DL, Tennant DM, Ocola LE, Novembre AE, Peabody ML, Wood OR
3009 - 3013 Actinic detection of sub-100 nm defects on extreme ultraviolet lithography mask blanks
Jeong ST, Johnson L, Rekawa S, Walton CC, Prisbrey ST, Tejnil E, Underwood JH, Bokor J
3014 - 3018 Nondestructive picosecond-ultrasonic characterization of Mo/Si extreme ultraviolet multilayer reflection coatings
Pu NW, Bokor J, Jeong ST, Zhao RA
3019 - 3023 Extreme ultraviolet mask defect simulation
Pistor T, Neureuther A
3024 - 3028 Method for compensation of extreme-ultraviolet multilayer defects
Ray-Chaudhuri AK, Cardinale G, Fisher A, Yan PY, Sweeney DW
3029 - 3033 Extreme ultraviolet lithography mask patterning and printability studies with a Ta-based absorber
Mangat PJS, Hector SD, Thompson MA, Dauksher WJ, Cobb J, Cummings KD, Mancini DP, Resnick DJ, Cardinale G, Henderson C, Kearney P, Wedowski M
3034 - 3038 System performance modeling of extreme ultraviolet lithographic thermal issues
Spence PA, Gianoulakis SE, Moen CD, Kanouff MP, Fisher A, Ray-Chaudhuri AK
3039 - 3042 Characterization of the manufacturability of ultrathin resist
Nguyen KB, Lyons C, Schefske J, Pike C, Phan K, King P, Levinson H, Bell S, Okoroanyanwu U
3043 - 3046 Synchrotron light as a source for extreme ultraviolet lithography
Ockwell DC, Crosland NCE, Kempson VC
3047 - 3051 Maskless extreme ultraviolet lithography
Choksi N, Pickard DS, McCord M, Pease RFW, Shroff Y, Chen YJ, Oldham W, Markle D
3052 - 3057 Nanolithography using extreme ultraviolet lithography interferometry: 19 nm lines and spaces
Solak HH, He D, Li W, Cerrina F
3058 - 3062 Gas assisted etching of copper with focused ion beams
Edinger K
3063 - 3067 Damage generation and removal in the Ga+ focused ion beam micromachining of GaN for photonic applications
Chyr I, Lee B, Chao LC, Steckl AJ
3068 - 3071 Thin oxides on passivated silicon irradiated by focused ion beams
Fuhrmann H, Dobeli M, Kotz R, Muhle R, Schnyder B
3072 - 3074 Carrier profile of the Si-doped layer in GaAs fabricated by a low-energy focused ion beam/molecular beam epitaxy combined system
Yanagisawa J, Goto T, Hada T, Nakai M, Wakaya F, Yuba Y, Gamo K
3075 - 3079 Combined focused ion beam deposition system and scanning probe microscope for metal nanostructure fabrication and characterization
Woodham RG, Ahmed H
3080 - 3084 Surface diagnostics of dry etched III-V semiconductor samples using focused ton beam and secondary ion mass spectrometry
Yu SY, Heard P, Cakmak B, Penty RV, White IH
3085 - 3090 Depth control of focused ion-beam milling from a numerical model of the sputter process
Vasile MJ, Xie JS, Nassar R
3091 - 3097 Ion projection lithography: International development program
Kaesmaier R, Loschner H, Stengl G, Wolfe JC, Ruchhoeft P
3098 - 3106 Experimental results of the stochastic Coulomb interaction in ion projection lithography
de Jager PWH, Derksen G, Mertens B, Cekan E, Lammer G, Vonach H, Buschbeck H, Zeininger M, Horner C, Loschner H, Stengl G, Bleeker AJ, Benschop J, Shi F, Volland B, Hudek P, Heerlein H, Rangelow IW, Kaesmaier R
3107 - 3111 Comparison of silicon stencil mask distortion measurements with finite element analysis
Ehrmann A, Struck T, Chalupka A, Haugeneder E, Loschner H, Butschke J, Irmscher M, Letzkus F, Springer R, Degen A, Rangelow IW, Shi F, Sossna E, Volland B, Engelstad R, Lovell E, Tejeda R
3112 - 3118 Modeling of edge roughness in ion projection lithography
Henke W, Torkler M
3119 - 3121 Minimum ion-beam exposure-dose determination for chemically amplified resist from printed dot matrices
Bruenger WH, Torkler M, Weiss M, Loschner H, Leung K, Lee Y, Hudek P, Rangelow IW, Stangl G, Fallmann W
3122 - 3126 Comparative evaluation of electron-beam sensitive single layer top surface imaging and bilayer chemical amplification of resist lines process for stencil mask making
Elian K, Irmscher M, Butschke J, Letzkus F, Reuter C, Springer R
3127 - 3131 Directly sputtered stress-compensated carbon protective layer for silicon stencil masks
Hudek P, Hrkut P, Drzik M, Kostic I, Belov M, Torres J, Wasson J, Wolfe JC, Degen A, Rangelow IW, Voigt J, Butschke J, Letzkus F, Springer R, Ehrmann A, Kaesmaier R, Kragler K, Mathuni J, Haugeneder E, Loschner H
3132 - 3136 Very high-resolution focused ion beam nanolithography improvement: A new three-dimensional patterning capability
Gierak J, Cambril E, Schneider M, David C, Mailly D, Flicstein J, Schmid G
3137 - 3143 MARS: Femtosecond laser mask advanced repair system in manufacturing
Haight R, Hayden D, Longo P, Neary T, Wagner A
3144 - 3148 Characteristics of chemical vapor deposition diamond films for x-ray mask substrates
Brooks CJ, Powers LA, Acosta RE, Moily D, Faili F, Herb JA
3149 - 3153 Highly accurate cell projection mask for applications to sub-130 nm patterning
Kim CK, Hur C, Kim YS, Baik KH, Choi IH
3154 - 3157 Lateral growth of focused ion beam deposited platinum for stencil mask repair
DeMarco AJ, Melngailis J
3158 - 3163 Progress toward a 30 nm silicon metal-oxide-semiconductor gate technology
Tennant DM, Timp GL, Ocola LE, Green M, Sorsch T, Kornblit A, Klemens F, Kleiman R, Kim Y, Timp W
3164 - 3167 Lithography for sub-60 nm resist nanostructures
Ocola LE, Tennant D, Timp G, Novembre A
3168 - 3176 Fabrication of patterned media for high density magnetic storage
Ross CA, Smith HI, Savas T, Schattenburg M, Farhoud M, Hwang M, Walsh M, Abraham MC, Ram RJ
3177 - 3181 Patterning of planar magnetic nanostructures by ion irradiation
Devolder T, Chappert C, Chen Y, Cambril E, Launois H, Bernas H, Ferre J, Jamet JP
3182 - 3185 Fabrication of 200 nm period nanomagnet arrays using interference lithography and a negative resist
Farhoud M, Ferrera J, Lochtefeld AJ, Murphy TE, Schattenburg ML, Carter J, Ross CA, Smith HI
3186 - 3189 Development of chemically assisted dry etching methods for magnetic device structures
Jung KB, Cho H, Lee KP, Marburger J, Sharifi F, Singh RK, Kumar D, Dahmen KH, Pearton SJ
3190 - 3196 Perpendicular patterned media in an (Al0.9Ga0.1)(2)O-3/GaAs substrate for magnetic storage
Wong J, Scherer A, Barbic M, Schultz S
3197 - 3202 Lithographically induced self-assembly of periodic polymer micropillar arrays
Chou SY, Zhuang L
3203 - 3207 Patterning of self-assembled monolayers with lateral dimensions of 0.15 mu m using advanced lithography
Yang XM, Peters RD, Kim TK, Nealey PF
3208 - 3211 Fabrication techniques for grating-based optical devices
Lim MH, Murphy TE, Ferrera J, Damask JN, Smith HI
3212 - 3216 High aperture diffractive x-ray and extreme ultraviolet optical elements for microscopy and lithography applications
Hambach D, Schneider G
3217 - 3221 High perfection chirped grating phase masks by electron-beam definition
Rogers C, Goodchild D, Baulcomb R, Butler M, Hoyle P, Kanellopoulos S, Clements S, Pugh B
3222 - 3225 Vertical cavity surface emitting lasers incorporating structured mirrors patterned by electron-beam lithography
Berseth CA, Dwir B, Utke I, Pier H, Rudra A, Iakovlev VP, Kapon E, Moser M
3226 - 3230 Independently contacted electron-hole gas heterostructures fabricated with focused ion beam doping during molecular beam epitaxial growth
Vijendran S, Sazio PJA, Beere HE, Jones GAC, Ritchie DA, Norman CE
3231 - 3234 Fabrication of tunable antidot structures with submicron airbridges
Feng Y, Sachrajda AS, Zawadzki P, Kolind S, Buchanan M, Smet JH, Lapointe J, Marshall PA
3235 - 3238 Fabrication and electron transport in multilayer silicon-insulater-silicon nanopillars
Pooley DM, Ahmed H, Lloyd NS
3239 - 3243 Patterning of silicon nanopillars formed with a colloidal gold etch mask
Lewis PA, Ahmed H
3244 - 3247 Novel method for silicon quantum wire transistor fabrication
Kedzierski J, Bokor J, Anderson E
3248 - 3251 Characteristics of a free-standing superconducting nanobridge with an integrated heater fabricated using a self-aligned technique
Lee SB, Hasko DG, Ahmed H
3252 - 3255 Fabrication of high performance microlenses for an integrated capillary channel electrochromatograph with fluorescence detection
Wendt JR, Warren ME, Sweatt WC, Bailey CG, Matzke CM, Arnold DW, Allerman AA, Carter TR, Asbill RE, Samora S
3256 - 3261 Scanning probe lithography using a cantilever with integrated transistor for on-chip control of the exposing current
Wilder K, Quate CF
3262 - 3266 157 nm: Deepest deep-ultraviolet yet
Rothschild M, Bloomstein TM, Curtin JE, Downs DK, Fedynyshyn TH, Hardy DE, Kunz RR, Liberman V, Sedlacek JHC, Uttaro RS, Bates AK, Van Peski C
3267 - 3272 Outlook for 157 nm resist design
Kunz RR, Bloomstein TM, Hardy DE, Goodman RB, Downs DK, Curtin JE
3273 - 3279 Materials issues for optical components and photomasks in 157 nm lithography
Liberman V, Bloomstein TM, Rothschild M, Sedlacek JHC, Uttaro RS, Bates AK, Van Peski C, Orvek K
3280 - 3284 F-doped and H-2-impregnated synthetic SiO2 glasses for 157 nm optics
Mizuguchi M, Skuja L, Hosono H, Ogawa T
3285 - 3290 Technique for optical characterization of exposure tool imaging performance down to 100 nm
Grodnensky I, Suwa K, Farrar N, Johnson E, Pan J
3291 - 3295 Node-connection/quantum phase-shifting mask: Path to below 0.3 mu m pitch, proximity effect free, random interconnects and memory patterning
Fukuda H
3296 - 3300 Extension of deep-ultraviolet lithography for patterning logic gates using alternating phase shifting masks
Kuo CC, Lin CH, Lin HT, Yen A
3301 - 3305 Lithographic projectors with dark-field illumination
White DL, Cirelli RA, Spector SJ, Wood OR
3306 - 3309 Liquid immersion deep-ultraviolet interferometric lithography
Hoffnagle JA, Hinsberg WD, Sanchez M, Houle FA
3310 - 3313 Nanofabrication with deep-ultraviolet lithography and resolution enhancements
Fritze M, Palmateer S, Maki P, Knecht J, Chen CK, Astolfi D, Cann S, Denault S, Krohn K, Wyatt PW
3314 - 3317 Near-field distribution in light-coupling masks for contact lithography
Paulus M, Michel B, Martin OJF
3318 - 3321 Determination of high-order lens aberration using phase/amplitude linear algebra
Fukuda H, Hayano K, Shirai S
3322 - 3325 High contrast chemically amplified 193 nm resist for gigabit dynamic random access memory generation
Itani T, Yoshino H, Yamana M, Takimoto M, Tanabe H
3326 - 3329 Study of bilayer silylation process for 193 nm lithography using chemically amplified resist
Satou I, Kuhara K, Endo M, Morimoto H
3330 - 3334 Outgassing of organic vapors from 193 nm photoresists: Impact on atmospheric purity near the lens optics
Kunz RR, Downs DK
3335 - 3338 Study of resolution limits due to intrinsic bias in chemically amplified photoresists
Postnikov SV, Stewart MD, Tran HV, Nierode MA, Medeiros DR, Cao T, Byers J, Webber SE, Wilson CG
3339 - 3344 Moving boundary transport model for acid diffusion in chemically amplified resists
Croffie E, Cheng MS, Neureuther A
3345 - 3350 Study of acid diffusion in a positive tone chemically amplified resist using an on-wafer imaging technique
Lu B, Taylor JW, Cerrina F, See CP, Bourdillon AJ
3351 - 3355 Study of acid diffusion in resist near the glass transition temperature
Fryer DS, Bollepali S, de Pablo JJ, Nealey PF
3356 - 3361 Measuring acid generation efficiency in chemically amplified resists with all three beams
Szmanda CR, Brainard RL, Mackevich JF, Awaji A, Tanaka T, Yamada Y, Bohland J, Tedesco S, Dal'Zotto B, Bruenger W, Torkler M, Fallmann W, Loeschner H, Kaesmaier R, Nealey PM, Pawloski AR
3362 - 3366 Relationship between the slope of the HD curve and the fundamental resist process contrast
Brunner TA
3367 - 3370 Simulation of roughness in chemically amplified resists using percolation theory
Patsis GP, Glezos N, Raptis I, Valamontes ES
3371 - 3378 Applications of molecular modeling in nanolithography
Pawloski AR, Torres JA, Nealey PF, de Pablo JJ
3379 - 3383 Extreme ultraviolet and X-ray resist: Comparison study
He D, Solak H, Li W, Cerrina F
3384 - 3389 Comparison of the lithographic properties of positive resists upon exposure to deep- and extreme-ultraviolet radiation
Brainard RL, Henderson C, Cobb J, Rao V, Mackevich JF, Okoroanyanwu U, Gunn S, Chambers J, Connolly S
3390 - 3393 Comparison of sensitivity and exposure latitude for polymethylmethacrylate, UVIII, and calixarene using conventional dip and ultrasonically assisted development
Yasin S, Hasko DG, Ahmed H
3394 - 3397 Application of 4-methyl-1-acetoxycalix[6]arene resist to complementary metal-oxide-semiconductor gate processing
Rooks MJ, Aviram A
3398 - 3401 Novel antireflective layer using polysilane for deep ultraviolet lithography
Sato Y, Shiobara E, Miyoshi S, Asano M, Matsuyama H, Onishi Y, Nakano Y, Hayase S
3402 - 3406 Progress in x-ray mask technology at NTT
Oda M, Shimada M, Tsuchizawa T, Uchiyama S, Okada I, Yoshihara H
3407 - 3410 Thermal compensation of x-ray mask distortions
Feldman M
3411 - 3414 Magnification correction by changing wafer temperature in proximity x-ray lithography
Aoyama H, Mitsui S, Taguchi T, Tanaka Y, Matsui Y, Fukuda M, Suzuki M, Haga T, Morita H
3415 - 3419 Critical-dimension control for 100 nm patterns in x-ray lithography
Tanaka Y, Iwamoto T, Fujii K, Kikuchi Y, Matsui Y, Fukuda M, Morita H
3420 - 3425 Direct measurement of x-ray mask sidewall roughness and its contribution to the overall sidewall roughness of chemically amplified resist features
Reynolds GW, Taylor JW, Brooks CJ
3426 - 3432 Extension of x-ray lithography to 50 nm with a harder spectrum
Khan M, Han G, Bollepalli SB, Cerrina F, Maldonado J
3433 - 3438 Pattern resolution of an x-ray beamline with a wide exposure field
Khan M, Cerrina F, Toyota E
3439 - 3443 X-ray multilevel zone plate fabrication by means of electron-beam lithography: Toward high-efficiency performances
Di Fabrizio E, Gentili M
3444 - 3448 Fabrication of three-dimensional microstructures by high resolution x-ray lithography
Cuisin C, Chen Y, Decanini D, Chelnokov A, Carcenac F, Madouri A, Lourtioz JM, Launois H
3449 - 3452 Maskless, parallel patterning with zone-plate array lithography
Carter DJD, Gil D, Menon R, Mondol MK, Smith HI, Anderson EH