633 - 640 |
Design of a shallow thermally stable ohmic contact to p-type InGaSb Wang SH, Mohney SE, Hull BA, Bennett BR |
641 - 644 |
Effects of KrF (248 nm) excimer laser irradiation on electrical and optical properties of GaN : Mg Kim DJ, Kim HM, Han MG, Moon YT, Lee S, Park SJ |
645 - 654 |
Characterization of vapor deposited poly (ethylene glycol) films on silicon surfaces for surface modification of microfluidic systems Popat KC, Johnson RW, Desai TA |
655 - 659 |
Modeling the impact of photoresist trim etch process on photoresist surface roughness Rauf S, Stout PJ, Cobb J |
660 - 663 |
Large area direct nanoimprinting of SiO2-TiO2 gel gratings for optical applications Li MT, Tan H, Chen L, Wang J, Chou SY |
664 - 669 |
Silicon nanowire with programmable conductivity analyzed by scanning Maxwell-stress microscopy Matsukawa T, Kanemaru S, Masahara M, Nagao M, Tanoue H, Itoh J |
670 - 676 |
Properties of ZnO-doped Zr0.8Sn0.2TiO4 thin films by rf sputtering Huang CL, Hsu CS |
677 - 682 |
Stability improvement of deuterated amorphous silicon thin-film transistors characterized by modified Schottky-contact gated-four-probe method Liu SD, Shih A, Chen SD, Lee SC |
683 - 687 |
Cell behavior on lithographically defined nanostructured substrates Teixeira AI, Abrams GA, Murphy CJ, Nealey PF |
688 - 692 |
Room-temperature nanoimprint and nanotransfer printing using hydrogen silsequioxane Matsui S, Igaku Y, Ishigaki H, Fujita J, Ishida M, Ochiai Y, Namatsu H, Komuro M |
693 - 697 |
Fabrication and electrical characteristics of carbon nanotube-based microcathodes for use in a parallel electron-beam lithography system Teo KBK, Chhowalla M, Amaratunga GAJ, Milne WI, Legagneux P, Pirio G, Gangloff L, Pribat D, Semet V, Binh VT, Bruenger WH, Eichholz J, Hanssen H, Friedrich D, Lee SB, Hasko DG, Ahmed H |
698 - 705 |
Correlation between current-voltage characteristics and dislocations evaluated with submicrometer Schottky contacts on n-GaN grown by metalorganic chemical vapor deposition Shiojima K, Suemitsu T |
706 - 709 |
Multiple-pulse laser annealing of preamorphized silicon for ultrashallow boron junction formation Poon CH, Cho BJ, Lu YF, Bhat M, See A |
710 - 713 |
Electron transport in a submicron-scale AlGaAs/GaAs field-effect transistor with InAs nanodots as the floating gate Koike K, Sasa S, Inoue M, Yano M |
714 - 718 |
Quantitative method of image analysis when drift is present in a scanning probe microscope Huerth SH, Hallen HD |
719 - 728 |
Fabrication and characterization of silicon nanocrystals by thermal oxidation of a-Si : H films in air Kohli S, Theil JA, Snyder RD, Rithner CD, Dorhout PK |
729 - 736 |
Carrier spilling revisited: On-bevel junction behavior of different electrical depth profiling techniques Clarysse T, Eyben P, Duhayon N, Xu MW, Vandervorst W |
737 - 743 |
Bias-induced junction displacements in scanning spreading resistance microscopy and scanning capacitance microscopy Eyben P, Duhayon N, Clarysse I, Vandervorst W |
744 - 747 |
Identification of artifacts in Auger electron spectroscopy due to surface topography Gelsthorpe A, El-Gomati MM |
748 - 753 |
High-resolution complex structures for two-dimensional photonic crystals realized by x-ray diffraction lithography Businaro L, Romanato F, Candeloro P, Di Fabrizio E, Patrini M, Galli M, Andreani C, Passaseo A, De Vittorio M |
754 - 759 |
Structural study of amorphous vanadium oxide films for thin film microbattery Kim HK, Seong TY, Yoon YS |
760 - 762 |
Temperature-dependent characteristics of an Al0.2Ga0.8As/ln(0.22)Ga(0.78)As pseudomorphic double heterojunction modulation doped field-effect transistor with a GaAs/AlGaAs superlattice buffer layer Li YJ, Hsu WC, Wang SY |
763 - 766 |
Fabrication of monodomain alumina pore arrays with an interpore distance smaller than the lattice constant of the imprint stamp Choi J, Nielsch K, Reiche M, Wehrspohn RB, Gosele U |
767 - 774 |
Study of titanium silicide formation using spike anneal for integrated chip manufacturing Tan CC, Lu L, Lai CW, See A, Chan LH |
775 - 780 |
Single electron transistors with Nb/AlOx/Nb junctions Dolata R, Scherer H, Zorin AB, Niemeyer J |
781 - 784 |
Influence of hydrogen plasma treatment on boron implanted junctions in silicon Rangan S, Horn M, Ashok S, Mohapatra YN |
785 - 789 |
Improvement of mechanical properties of nanometer period multilayer films at interfaces of each layer Miyake S |
790 - 794 |
Sub-0.1 mu m nitride hard mask open process without precuring the ArF photoresist Kim J, Chae YS, Lee WS, Shon JW, Kang CJ, Han WS, Moon JT |
795 - 799 |
NiAuGeAu ohmic contacts for a planar InP-based high electron mobility transistor structure with suppressed drain conductance frequency dispersion Arai T, Sawada K, Hara N |
800 - 803 |
Dry etching of ZnO films and plasma-induced damage to optical properties Park JS, Park HJ, Hahn YB, Yi GC, Yoshikawa A |
804 - 813 |
Multilayer diffusion barrier for copper metallization using a thin interlayer metal (M=Ru, Cr, and Zr) between two TiN films Kim SH, Nam KT, Datta A, Kim HM, Kim KB, Kang DH |
814 - 817 |
Optical properties of thin film CrxNy-CrOxNy multilayer films for 157 nm optical lithography Rack PD, Lassiter M, Bourov A, Baiko D, Smith B |
818 - 822 |
Patterning SiO2 thin films using synchrotron radiation stimulated etching with a Co contact mask Wang CS, More SD, Wang ZH, Yamamura S, Nonagaki Y, Urisu T |
823 - 827 |
Development of an electron-beam lithography system for high accuracy masks Kawano H, Ito H, Mizuno K, Matsuzaka T, Kawasaki K, Saitou N, Ohta H, Sohda Y |
828 - 836 |
Integrated equipment-feature modeling investigation of fluorocarbon plasma etching of SiO2 and photoresist Zhang D, Rauf S, Sparks TG, Ventzek PLG |
837 - 842 |
Crystallization and pyroelectric effect of semiconducting YBaCuO thin films deposited at different temperatures Yildiz A, Butler DP, Celik-Butler Z, Kim CU |
843 - 847 |
Inductively coupled plasma reactive ion etching of GaInAsSb and AlGaAsSb for quaternary antimonide multiple interconnected module thermophotovoltaics Peake GM, Shul RJ, Ashby CIH, Cederberg JG, Hafich MJ, Biefeld RM, Palmisiano MN |
848 - 857 |
Properties of reactive-sputtered Ti1-xAlxN films for complementary metal-oxide-semicond uctor silicon storage node electrode diffusion barriers Kim SD, Rhee JK, Park HM |
858 - 861 |
Improving the quality of electroplated copper films by rapid thermal annealing Chang SC, Shieh JM, Dai BT, Feng MS, Wang YL |
862 - 867 |
Applications of micro-Raman spectroscopy in salicide characterization for Si device fabrication Zhao FF, Chen SY, Shen ZX, Gao XS, Zheng JZ, See AK, Chan LH |
868 - 871 |
Experimental studies of the cap structure of single-walled carbon nanotubes Dean KA, Chalamala BR |
872 - 878 |
Analysis of the I-V characteristics of Al/4H-SiC Schottky diodes Zhang JY, Harrell WR |
879 - 882 |
Organic modified Schottky contacts: Barrier height engineering and chemical stability Kampen TU, Park S, Zahn DRT |
883 - 887 |
Growth and characterization of modulation-doped double barrier quantum well infrared photodetectors Luna E, Guzman A, Sanchez-Rojas JL, Tijero JMG, Hey R, Hernando J, Munoz E |
888 - 894 |
Characterization of different-Al-content AlxGa1-xN/GaN heterostructures and high-electron-mobility transistors on sapphire Arulkumaran S, Egawa T, Ishikawa H, Jimbo J |
895 - 899 |
Role of O(D-1) in the oxidation of Si(100) Kaspar T, Tuan A, Tonkyn R, Hess WP, Rogers JW, Ono Y |
900 - 903 |
Low-loss InP-based photonic-crystal waveguides etched with Ar/Cl-2 chemically assisted ion beam etching Mulot M, Anand S, Swillo M, Qiu M, Jaskorzynska B, Talneau A |
904 - 911 |
Chemical topography analyses of silicon gates etched in HBr/Cl-2/O-2 and HBr/Cl-2/O-2/CF4 high density plasmas Vallier L, Foucher J, Detter X, Pargon E, Joubert O, Cunge G, Lill T |
912 - 915 |
Deep reactive ion etching characteristics of a macromachined chemical reactor Besser RS, Shin WC |
916 - 918 |
Comparative measurements of the piezoelectric coefficient of a lead zirconate titanate film by piezoresponse force microscopy using electrically characterized tips Lin HN, Chen SH, Ho ST, Chen PR, Lin IN |
919 - 922 |
Contact resistance of newly proposed RuTiN and RuTiO diffusion barriers for future high-density memory capacitors Yoon DS, Roh JS |